Ulrich Rückert
PEVZ-ID
426 Publikationen
-
2024 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2994119A Spike Vision Approach for Multi-object Detection and Generating Dataset Using Multi-core Architecture on Edge DevicePUB | DOI
Ullah S, Koravuna S, Rückert U, Jungeblut T (2024)
In: Engineering Applications of Neural Networks. 25th International Conference, EANN 2024, Corfu, Greece, June 27–30, 2024, Proceedings. Iliadis L, Maglogiannis I, Papaleonidas A, Pimenidis E, Jayne C (Eds); Communications in Computer and Information Science. Cham: Springer Nature Switzerland: 317-328. -
2024 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2994118A Hybrid Spiking-Convolutional Neural Network Approach for Advancing Machine Learning ModelsPUB | DOI
Ullah S, Roy K, Rückert U, Jungeblut T (2024)
Proceedings of the 5th Northern Lights Deep Learning Conference. -
2024 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2994116Poster: Selection of Optimal Neural Model using Spiking Neural Network for Edge ComputingPUB | DOI
Ullah S, Roy K, Rückert U, Jungeblut T (2024)
In: 2024 IEEE 44th International Conference on Distributed Computing Systems (ICDCS). IEEE: 1452-1453. -
2024 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2994113Advancements in Neural Network GenerationsPUB | DOI
Ullah S, Koravuna S, Rückert U, Jungeblut T (2024)
Proceedings of the sAIOnARA Conference. -
2024 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2993781A Digital Twin Implementation for the AMiRoPUB | DOI
Klarhorst C, Quirin D, Hesse M, Rückert U (2024)
In: 2024 IEEE 29th International Conference on Emerging Technologies and Factory Automation (ETFA). IEEE: 1-4. -
2024 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2993779Insights from a Decade of AMiRo: Where Research Meets EducationPUB | DOI
Klarhorst C, Quirin D, Hesse M, Rückert U (2024)
In: Robotics in Education. Proceedings of the RiE 2024 Conference. Balogh R, Obdržálek D, Fislake M (Eds); Lecture Notes in Networks and Systems. Cham: Springer Nature Switzerland: 247-259. -
2024 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2993060A Spike Vision Approach for Multi-object Detection and Generating Dataset Using Multi-core Architecture on Edge DevicePUB | DOI | WoS
Sanaullah S, Koravuna S, Rückert U, Jungeblut T (2024)
In: Engineering Applications of Neural Networks, EANN 2024. Communications in Computer and Information Science, 2141. Cham: Springer : 317-328. -
2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer SelectionPUB | DOI | WoS
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023)
In: Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings. Iliadis L, Maglogiannis I, Alonso S, Jayne C, Pimenidis E (Eds); Communications in Computer and Information Science. Cham: Springer Nature Switzerland: 191-202. -
2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979269Who Will Own Our Global Digital Twin: The Power of Genetic and Biographic Information to Shape Our LivesPUB | DOI
Pilz S, Hellweg T, Harteis C, Rückert U, Schneider M (2023)
In: The Digital Twin of Humans. An Interdisciplinary Concept of Digital Working Environments in Industry 4.0. Gräßler I, Maier GW, Steffen E, Roesmann D (Eds); Cham: Springer International Publishing: 11-35. -
2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2969731µRT: A lightweight real-time middleware with integrated validation of timing constraintsPUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
Schöpping T, Kenneweg S, Hesse M, Rückert U (2023)
Frontiers in Robotics and AI 10: 23. -
2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807Exploring spiking neural networks: a comprehensive analysis of mathematical models and applicationsPUB | PDF | DOI | WoS | PubMed | Europe PMC
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023)
Frontiers in Computational Neuroscience 17. -
2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNsPUB | DOI | Download (ext.) | Preprint
Ullah S, Koravuna S, Rückert U, Jungeblut T (Accepted)
Presented at the Novel Trends in Data Science 2023, Congressi Stefano Franscini at Monte Verità in Ticino, Switzerland. -
2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2984934TinyML optimization for activity classification on the resource-constrained body sensor BI-VitalPUB | DOI | Download (ext.)
Penner K, Wittenfeld F, Steinhagen B, Hesse M, Rückert U (2023)
In: 2023 IEEE 19th International Conference on Body Sensor Networks (BSN). IEEE: 1-4. -
2023 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2969032Bidirectional UWB Localization: A Review on an Elastic Positioning Scheme for GNSS-deprived ZonesPUB | PDF | DOI | Download (ext.) | arXiv
Lian Sang C, Adams M, Hesse M, Rückert U (2023)
IEEE Journal of Indoor and Seamless Positioning and Navigation: 26. -
2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982608Evaluation of the Usage of Edge Computing and LoRa for the Control of Electric Vehicle Charging in the Low Voltage GridPUB | DOI
Steinhagen B, Jungh T, Hesse M, Rückert U, Quakernack L, Kelker M, Haubrock J (2023)
In: 2023 IEEE PES Conference on Innovative Smart Grid Technologies - Middle East (ISGT Middle East). proceedings. Piscataway, NJ: IEEE: 1-5. -
2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2983888Expected Goals Prediction in Professional Handball using Synchronized Event and Positional DataPUB | DOI
Adams M, David A, Hesse M, Rückert U, Lienhart R, Moeslund TB, Saito H (2023)
In: Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports. New York, NY, USA: ACM: 83-91. -
2023 | Konferenzbeitrag | PUB-ID: 2983660Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNsPUB | DOI
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023)
Presented at the International Conference on Computer Vision (ICCV) 2023, Paris France. -
2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2983268A Scalable Binary Neural Associative Memory on FPGAPUB | DOI
Kortekamp M, Pilz S, Hagemeyer J, Rückert U (2023)
In: Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I. Rojas I, Joya G, Catala A (Eds); Lecture Notes in Computer Science. Cham: Springer Nature Switzerland: 381-393. -
2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSimPUB | DOI | WoS | PubMed | Europe PMC
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023)
International Journal of Neural Systems 33(09): 2350044. -
2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810Evaluating Spiking Neural Network Models: A Comparative Performance AnalysisPUB | DOI
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023) . -
2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811Design-Space Exploration of SNN Models using Application-Specific Multi-Core ArchitecturesPUB | DOI
Ullah S, Koravuna S, Rückert U, Jungeblut T (2023) . -
2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2964196VEDLIoT: Very Efficient Deep Learning in IoTPUB | DOI
Kaiser M, Griessl R, Kucza N, Haumann C, Tigges L, Mika K, Hagemeyer J, Porrmann F, Rückert U, vor dem Berge M, Krupop S, et al. (2022)
In: DATE '22: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe. Institut of Electrical and Electronics Engineers (IEEE) (Ed); Leuven: European Design and Automation Association: 963-968. -
2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814Real-Time Resource Efficient Simulator for SNNs-based Model ExperimentationPUB | DOI
Ullah S, Koravuna S, Jungeblut T, Rückert U (2022) . -
2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461SNNs Model Analyzing and Visualizing Experimentation Using RAVSimPUB | DOI | Download (ext.)
Ullah S, Koravuna S, Rückert U, Jungeblut T (2022)
In: Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings. Iliadis L, Jayne C, Tefas A, Pimenidis E (Eds); Communications in Computer and Information Science. Cham: Springer International Publishing: 40-51. -
2022 | Preprint | PUB-ID: 2982804NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNsPUB | DOI
Ullah S, Koravuna S, Jungeblut T, Rückert U (2022) . -
2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2966552ML4ProFlow: A Framework for Low-Code Data Processing from Edge to Cloud in Industrial ProductionPUB | DOI
Klarhorst C, Quirin D, Hesse M, Rückert U (2022)
In: 2022 IEEE 27th International Conference on Emerging Technologies and Factory Automation (ETFA). IEEE (Ed); Piscataway, NJ: IEEE. -
2022 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2963591Benchmarking Neuromorphic Hardware and Its Energy ExpenditurePUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
Ostrau C, Klarhorst C, Thies M, Rückert U (2022)
Frontiers in Neuroscience 16: 873935. -
2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2957481Acceleration of the SPADE Method Using a Custom-Tailored FP-Growth ImplementationPUB | PDF | DOI | WoS | PubMed | Europe PMC
Porrmann F, Pilz S, Stella A, Kleinjohann A, Denker M, Hagemeyer J, Rückert U (2021)
Frontiers in Neuroinformatics 15(15): 723406. -
2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942322Benchmarking Deep Spiking Neural Networks on Neuromorphic HardwarePUB | Dateien verfügbar | DOI | arXiv
Ostrau C, Homburg JD, Klarhorst C, Thies M, Rückert U (2020)
In: Artificial Neural Networks and Machine Learning – ICANN 2020. Springer International Publishing. -
2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR.PUB | PDF | DOI | WoS | PubMed | Europe PMC
Meyer HG, Klimeck D, Paskarbeit J, Rückert U, Egelhaaf M, Porrmann M, Schneider A (2020)
PloS one 15(4). -
2020 | Datenpublikation | PUB-ID: 2943719Supplementary Research Data for the Paper entitled Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning MethodsPUB | Dateien verfügbar | DOI
Lian Sang C, Steinhagen B, Homburg JD, Adams M, Hesse M, Rückert U (2020)
Bielefeld University. -
2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2943046Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning MethodsPUB | PDF | DOI | Download (ext.) | WoS | Preprint
Lian Sang C, Steinhagen B, Homburg JD, Adams M, Hesse M, Rückert U (2020)
Applied Sciences 10(11): 3980. -
2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2941831Benchmarking of Neuromorphic Hardware SystemsPUB | Dateien verfügbar | DOI
Ostrau C, Klarhorst C, Thies M, Rückert U (2020)
In: Neuro-inspired Computational Elements Workshop (NICE ’20), March 17–20, 2020, Heidelberg, Germany. International Conference Proceeding Series (ICPS). Association for Computing Machinery (ACM). -
2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2941646Accelerating Binary String Comparisons with a Scalable, Streaming-Based System Architecture Based on FPGAsPUB | PDF | DOI | Download (ext.) | WoS
Pilz S, Porrmann F, Kaiser M, Hagemeyer J, Hogan JM, Rückert U (2020)
Algorithms 13(2): 47. -
2019 | Konferenzbeitrag | PUB-ID: 2937521Jointly Trained Variational Autoencoder for Multi-Modal Sensor FusionPUB | PDF
Korthals T, Hesse M, Leitner J, Melnik A, Rückert U (2019)
In: 22st International Conference on Information Fusion, (FUSION) 2019, Ottawa, CA, July 2-5, 2019. 1-8. -
2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2941207Comparing Neuromorphic Systems by Solving Sudoku ProblemsPUB | Dateien verfügbar | DOI
Ostrau C, Klarhorst C, Thies M, Rückert U (Accepted)
In: Conference Proceedings: 2019 International Conference on High Performance Computing & Simulation (HPCS). Piscataway, NJ: IEEE. -
2019 | Kurzbeitrag Konferenz / Poster | Angenommen | PUB-ID: 2935328Benchmarking and Characterization of event-based Neuromorphic HardwarePUB | PDF
Ostrau C, Klarhorst C, Thies M, Rückert U (Accepted)
Presented at the FastPath 2019 - International Workshop on Performance Analysis of Machine Learning Systems, Madison, Wisconsin, USA. -
2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460Asynchronous network-on-chips (NoCs) for resource efficient many core architecturesPUB | DOI | Download (ext.)
Ax J, Kucza N, Porrmann M, Rückert U, Jungeblut T (2019)
In: Asynchronous Circuit Applications. Di J, Smith SC (Eds); Institution of Engineering and Technology (IET): 173-197. -
2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937505A Comparative Study of UWB-based True-Range Positioning Algorithms using Experimental DataPUB | PDF | DOI | Download (ext.)
Lian Sang C, Adams M, Hesse M, Hörmann T, Korthals T, Rückert U (2019)
Presented at the IEEE 16th Workshop on Positioning, Navigation and Communications (WPNC'19), Bremen, Germany. -
2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937493A Bidirectional Object Tracking and Navigation System using a True-Range Multilateration MethodPUB | PDF | DOI | Download (ext.)
Lian Sang C, Adams M, Korthals T, Hörmann T, Hesse M, Rückert U (2019)
Presented at the Tenth International Conference on Indoor Positioning and Indoor Navigation (IPIN), Pisa, Italy. -
2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2937362Constraint Exploration of Convolutional Network Architectures with NeuroevolutionPUB | DOI
Homburg JD, Adams M, Thies M, Korthals T, Hesse M, Rückert U (2019)
In: Advances in Computational Intelligence. IWANN 2019. Rojas I, Joya G, Catala A (Eds); Lecture Notes in Computer Science, 11507. Cham: Springer: 735-746. -
2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486Towards an SSVEP-BCI Controlled Smart HomePUB | DOI
Adams M, Benda M, Saboor A, Krause AF, Rezeika A, Gembler F, Stawicki P, Hesse M, Essig K, Ben-Salem S, Islam Z, et al. (2019)
In: 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC). Piscataway, NJ: IEEE. -
2019 | Datenpublikation | PUB-ID: 2939390Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging MethodsPUB | Dateien verfügbar | DOI
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2019)
Bielefeld University. -
2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging MethodsPUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2019)
Sensors 19(3): 616. -
2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2934957Multi-Modal Generative Models for Learning Epistemic Active SensingPUB
Korthals T, Rudolph D, Leitner J, Hesse M, Rückert U (Accepted)
In: 2019 IEEE International Conference on Robotics and Automation. Piscataway, NJ: IEEE. -
2019 | Konferenzbeitrag | PUB-ID: 2937511Fiducial Marker based Extrinsic Camera Calibration for a Robot Benchmarking PlatformPUB | PDF
Korthals T, Wolf D, Rudolph D, Hesse M, Rückert U (2019)
In: European Conference on Mobile Robots, ECMR 2019, Prague, CZ, September 4-6, 2019. 1-6. -
2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2937732Effect of playing position and time on-court on activity profiles in german elite team handballPUB | DOI | WoS
Büchel D, Jakobsmeyer R, Döring M, Adams M, Rückert U, Baumeister J (2019)
International Journal of Performance Analysis in Sport 19(5): 832-844. -
2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data MemoriesPUB | PDF | DOI | WoS
Ax J, Sievers G, Daberkow J, Flasskamp M, Vohrmann M, Jungeblut T, Kelly W, Porrmann M, Rückert U (2018)
IEEE Transactions on Parallel and Distributed Systems 29(5): 1030-1043. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision ApplicationsPUB | DOI
Klimeck D, Meyer HG, Hagemeyer J, Porrmann M, Rückert U (2018)
In: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). Piscataway, NJ: IEEE. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging MethodsPUB | PDF | DOI | Download (ext.)
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2018)
In: 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN). Piscataway, NJ: IEEE. -
2018 | Datenpublikation | PUB-ID: 2919795Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''PUB | Dateien verfügbar | DOI
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2018)
Bielefeld University. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930691Generic Architecture for Modular Real-time Systems in RoboticsPUB | DOI
Schöpping T, Korthals T, Hesse M, Rückert U (2018)
In: Proceedings of the 15th International Conference on Informatics in Control, Automation and Robotics. . Madani K, Gusikhin O (Eds); , 2. Setúbal, Portugal : SciTePress: 403-410. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2918988AMiRo: A Mini Robot as Versatile Teaching PlatformPUB
Schöpping T, Korthals T, Hesse M, Rückert U (2018)
In: Proceedings of the 9th International Conference on Robotics in Education, RiE 2018. Advances in Intelligent Systems and Computing, 829. Springer: 177-188. -
2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2919030Technical feasibility study for production of tailored multielectrode arrays and patterning of arranged neuronal networksPUB | PDF | DOI | WoS | PubMed | Europe PMC
Schürmann M, Shepheard N, Frese N, Geishendorf K, Sudhoff H, Gölzhäuser A, Rückert U, Kaltschmidt C, Kaltschmidt B, Thomas A (2018)
PLOS ONE 13(2): e0192647. -
2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2918982Multi-Modal Detection and Mapping of Static and Dynamic Obstacles in Agriculture for Process EvaluationPUB | PDF | DOI | WoS | PubMed | Europe PMC
Korthals T, Kragh M, Christiansen P, Karstoft H, Jørgensen RN, Rückert U (2018)
Frontiers in Robotics and AI 5: 26. -
2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor SportsPUB | DOI | WoS
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2018)
Journal of Signal Processing Systems 91(7): 703-729. -
2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2934971Coordinated Heterogeneous Distributed Perception based on Latent Space RepresentationPUB | arXiv
Korthals T, Leitner J, Rückert U (2018)
CoRR. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2933447Visuelles Sensorsystem für die Trainings- und Spielunterstützung im LeistungshandballPUB
Adams M, Hesse M, Hörmann T, Rückert U (2018)
In: Technologien im Leistungssport 3. Tagungsband zur 19. Frühjahrsschule am 14./15. Mai 2018 in Leipzig. Fichtner I (Ed); Schriftenreihe für angewandte Trainingswissenschaft , 13. Meyer & Meyer Verlag: 106-115. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930695What Makes a Cognitive Robot? A Systems Engineering PerspectivePUB
Schöpping T, Rückert U (2018)
In: 7. Interdisziplinärer Workshop Kognitive Systeme: Mensch, Teams, Systeme und Automaten. Kognitive Systeme: Mensch, Teams, Systeme und Automaten. 102-110. -
2018 | Konferenzbeitrag | PUB-ID: 2921315Development of Energy Models for Design Space Exploration of Embedded Many-Core SystemsPUB
Klarhorst C, Flasskamp M, Ax J, Jungeblut T, Kelly W, Porrmann M, Rückert U (2018)
Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom. -
2017 | Sammelwerksbeitrag | E-Veröff. vor dem Druck | PUB-ID: 2915288Vernetzte Arbeitsumgebungen: Körpernahe und tragbare Sensorik in der ArbeitsweltPUB | DOI | Download (ext.)
Hörmann T, Rückert U (2017)
In: Handbuch Gestaltung digitaler und vernetzter Arbeitswelten. Maier GW, Engels G, Steffen E (Eds); Berlin, Heidelberg: Springer: 1-20. -
2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2913968Binary Associative Memories as a Benchmark for Spiking Neuromorphic HardwarePUB | PDF | DOI | WoS | PubMed | Europe PMC
Stöckel A, Jenzen C, Thies M, Rückert U (2017)
Frontiers in Computational Neuroscience 11: 71. -
2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2934958Towards Inverse Sensor Mapping in AgriculturePUB | arXiv
Korthals T, Kragh M, Christiansen P, Rückert U (2017)
In: Proceedings of the IEEE IROS workshop on Agricultural Robotics. Learning from Industry 4.0 and moving into the future. Kounalakis T, van Evert F, Ball DM, Kootstra G, Nalpantidis L (Eds); 6-11. -
2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815Reconfigurable Vision Processing System for Player Tracking in Indoor SportsPUB | DOI
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2017)
In: Conference on Design and Architectures for Signal and Image Processing (DASIP 2017). Piscataway, NJ: IEEE: 1-6. -
2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430FPGA-based Multi-Robot TrackingPUB | DOI | Download (ext.) | WoS
Irwansyah A, Ibraheem OW, Hagemeyer J, Porrmann M, Rückert U (2017)
Journal of Parallel and Distributed Computing 107: 146-161. -
2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908960Detailed Estimation of Cognitive Workload with Reference to a Modern Working EnvironmentPUB | DOI
Hörmann T, Hesse M, Christ P, Adams M, Menßen C, Rückert U (2017)
In: Biomedical Engineering Systems and Technologies. Fred A, Gamboa H (Eds); Communications in Computer and Information Science. Cham: Springer Nature: 205-223. -
2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoCPUB
Ax J, Kucza N, Vohrmann M, Jungeblut T, Porrmann M, Rückert U (Accepted)
In: IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17). -
2017 | Report | PUB-ID: 2913643Survey of FPGA applications in the period 2000 – 2015 (Technical Report)PUB | PDF | DOI
Romoth J, Porrmann M, Rückert U (2017) . -
2017 | Konferenzbeitrag | PUB-ID: 2908757An Adaptive Acknowledgement On-demand Protocol for Wireless Sensor NetworksPUB | PDF | DOI | Download (ext.)
Lian Sang C, Hesse M, Zehe S, Adams M, Hörmann T, Rückert U (2017)
In: Proceedings of the 6th International Confererence on Sensor Networks., 1. 174-181. -
2016 | Datenpublikation | PUB-ID: 2902276AMiRo-OSPUB | Dateien verfügbar | DOI
Schöpping T, Korthals T, Herbrechtsmeier S, Chinapirom T, Abel R, Barther M, Kenneweg T, Braun C, Rückert U (2016)
Bielefeld University. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903244Die Entwicklung zukünftiger körpernaher Sensorsysteme für die autarke und mobile TrainingsunterstützungPUB | Dateien verfügbar
Hesse M, Christ P, Hörmann T, Adams M, Rückert U (2016)
In: Technologien im Leistungssport. Fichtner I (Ed); Schriftenreihe Angewandte Trainingswissenschaft, 4. Aachen: Meyer & Meyer: 152-161. -
2016 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908968Brain-Inspired Architectures for NanoelectronicsPUB | DOI
Rückert U (2016)
In: CHIPS 2020 VOL. 2: New Vistas in Nanoelectronics. Hoefflinger B (Ed); 1st ed. Cham, Switzerland: Springer International Publishing: 249--274. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905038A Software Assistant for User-Centric Calibration of a Wireless Body SensorPUB | PDF | DOI | Download (ext.)
Hörmann T, Hesse M, Adams M, Rückert U (2016)
In: 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN). IEEE: 183-188. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903248Fine-Grained Prediction of Cognitive Workload in a Modern Working Environment by Utilizing Short-Term Physiological ParametersPUB | PDF | DOI | Download (ext.)
Hörmann T, Hesse M, Christ P, Adams M, Menßen C, Rückert U (2016)
In: Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies., 4. SCITEPRESS: 42-51. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906483AMiRo: A Modular & Customizable Open-Source Mini Robot PlatformPUB | DOI
Herbrechtsmeier S, Korthals T, Schöpping T, Rückert U (2016)
Presented at the 20th International Conference on System Theory, Control and Computing, Sinaia. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905037Towards a Comprehensive Power Consumption Model for Wireless Sensor NodesPUB | PDF | DOI | Download (ext.)
Hesse M, Adams M, Hörmann T, Rückert U (2016)
In: 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN). IEEE: 390-395. -
2016 | Konferenzbeitrag | PUB-ID: 2906482Occupancy Grid Mapping with Highly Uncertain Range Sensors based on Inverse Particle FiltersPUB | DOI
Korthals T, Barther M, Schöpping T, Herbrechtsmeier S, Rückert U (2016)
In: Proceedings of the 13th International Conference on Informatics in Control, Automation and Robotics. 192-200. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOIPUB | DOI
Sievers G, Daberkow J, Ax J, Flasskamp M, Kelly W, Jungeblut T, Porrmann M, Rückert U (2015)
In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE: 175-181. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOIPUB | DOI | Download (ext.)
Sievers G, Ax J, Kucza N, Flasskamp M, Jungeblut T, Kelly W, Porrmann M, Rückert U (2015)
In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE: 1925-1928. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757486AMiRo: A Mini Robot for Scientific ApplicationsPUB | DOI
Schöpping T, Korthals T, Herbrechtsmeier S, Rückert U (2015)
In: Advances in Computational Intelligence. Rojas I, Joya G, Catala A (Eds); Lecture Notes in Computer Science, 9094. Cham: Springer: 199-205. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107A resource-efficient multi-camera GigE vision IP core for embedded vision processing platformsPUB | DOI
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2015)
In: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Hübner M, Gokhale M, Cumplido R (Eds); Piscataway, NJ: IEEE: 1-6. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108FPGA-based circular hough transform with graph clustering for vision-based multi-robot trackingPUB | DOI
Irwansyah A, Ibraheem OW, Hagemeyer J, Porrmann M, Rückert U (2015)
In: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Hübner M, Gokhale M, Cumplido R (Eds); Piscataway, NJ: IEEE: 1-8. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276Neuro control of a PFC rectifier for harmonic reduction at partial loadsPUB | DOI
Keuck L, Hemmelgarn F, Frohleke N, Bocker J, Vohrmann M, Jungeblut T, Rückert U (2015)
In: 2014 International Conference on Advances in Green Energy (ICAGE). Institute of Electrical and Electronics Engineers (IEEE). -
2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908970VLSI-EntwurfsmethodenPUB | DOI
Rückert U (2015)
In: Integrierte Digitale Schaltungen: Vom Transistor zur optimierten Logikschaltung. Klar H, Noll T (Eds); 3rd ed. Berlin, Heidelberg: Springer Berlin Heidelberg: 669--689. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783152Robust Estimation of Physical Activity by Adaptively Fusing Multiple ParametersPUB | PDF | DOI | Download (ext.)
Hörmann T, Christ P, Hesse M, Rückert U (2015)
In: Wearable and Implantable Body Sensor Networks (BSN), 2015 IEEE 12th International Conference on. IEEE: 1-6. -
2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2902858Evidence Grid Based Information Fusion for Semantic Classifiers in Dynamic Sensor NetworksPUB | DOI
Korthals T, Krause T, Rückert U (2015)
In: Machine Learning for Cyber Physical Systems. Niggemann O, Beyerer J (Eds); Berlin, Heidelberg: Springer Science + Business Media: 9-14. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare AutomatisierungssystemePUB
Ax J, Buda A, Schneider D, Hartfiel J, Dürkop L, Jungeblut T, Jasperneite J, Vedral A, Rückert U (2015)
Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus. -
2015 | Konferenzbeitrag | PUB-ID: 2902041A 65 nm Standard Cell Library for Ultra Low-power ApplicationsPUB | DOI
Vohrmann M, Chatterjee S, Lütkemeier S, Jungeblut T, Porrmann M, Rückert U (2015)
Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera InterfacesPUB
Irwansyah A, Ibraheem OW, Klimeck D, Porrmann M, Rückert U (2014)
Presented at the Bildverarbeitung in der Automation (BVAu) 2014, Lemgo, Germany. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2730661A Respiration Sensor for a Chest-Strap Based Wireless Body SensorPUB | PDF | DOI
Hesse M, Christ P, Hörmann T, Rückert U (2014)
In: SENSORS, 2014 IEEE. IEEE: 490-493. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929CoreVA: A Configurable Resource-efficient VLIW Processor ArchitecturePUB | DOI
Hübener B, Sievers G, Jungeblut T, Porrmann M, Rückert U (2014)
In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE: 9-16. -
2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2704390Identification of Athletes During Walking and Jogging Based on Gait and Electrocardiographic PatternsPUB | DOI | Download (ext.)
Christ P, Rückert U (2014)
In: Biomedical Engineering Systems and Technologies. Fernandez-Chimeno M, Fernandes PL, Alvarez S, Stacey D, Solé-Casals J, Fred A, Gamboa H (Eds); Communications in Computer and Information Science, 452,. Berlin, Heidelberg: Springer Berlin Heidelberg: 240-257. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage ControlPUB | DOI | WoS
Lütkemeier S, Jungeblut T, Berge HKO, Aunet S, Porrmann M, Rückert U (2013)
IEEE Journal Of Solid-State Circuits 48(1): 8-19. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische SystemePUB | PDF
Korf S, Sievers G, Ax J, Cozzi D, Jungeblut T, Hagemeyer J, Porrmann M, Rückert U (2013)
In: Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Gausemeier J, Dumitrescu R, Rammig F, Trächtler A (Eds); HNI-Verlagsschriftenreihe, 310. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn: 79-90. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing ApplicationsPUB | DOI
Sievers G, Christ P, Einhaus J, Jungeblut T, Porrmann M, Rückert U (2013)
In: 2013 NORCHIP. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634404Teletesting: Remote Path Planning Experimentation and Benchmarking in the TeleWorkbenchPUB | Download (ext.)
Tanoto A, Gomez JV, Mavridis N, Li H, Rückert U, Garrido S (2013)
Presented at the IEEE European Conference on Mobile Robots (ECMR'13), Barcelona, Spain. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649Pareto-optimal Signal Processing on Low-Power MicroprocessorsPUB | DOI | Download (ext.)
Christ P, Sievers G, Einhaus J, Jungeblut T, Porrmann M, Rückert U (2013)
In: Proceedings of the 12th IEEE International Conference on SENSORS. IEEE: 1843-1846. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576303Athlete Identification using Acceleration and Electrocardiographic Measurements Recorded with a Wireless Body SensorPUB | PDF | Download (ext.)
Christ P, Werner F, Rückert U, Mielebacher J (2013)
In: Proc. of the 6th Int. Conf. on Bio-Inspired Systems and Signal Processing, Int. Joint Conf. on Biomedical Engineering Systems and Technologies. Alvarez S, Solé-Casals J, Fred A, Gamboa H (Eds); SciTePress: 11-19. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded ProcessorsPUB | DOI | Download (ext.) | WoS
Jungeblut T, Hübener B, Porrmann M, Rückert U (2013)
ACM Trans. Embed. Comput. Syst. 13(2): 1-25. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531A reconfigurable neuroprocessor for self-organizing feature mapsPUB | DOI | Download (ext.) | WoS
Lachmair J, Merényi E, Porrmann M, Rückert U (2013)
Neurocomputing 112(SI): 189-199. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493986AMiRo – Autonomous Mini Robot for Research and EducationPUB | DOI
Herbrechtsmeier S, Rückert U, Sitte J (2012)
In: Advances in Autonomous Mini Robots. Rückert U, Sitte J, Werner F (Eds); Heidelberg: Springer: 101-112. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365Optimizing inter-FPGA communication by automatic channel adaptationPUB | DOI
Romoth J, Jungewelter D, Hagemeyer J, Porrmann M, Rückert U (2012)
In: 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico . Piscataway, NJ: IEEE: 1-7. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2546464Scalable and Flexible Vision-Based Multi-Robot Tracking SystemPUB | DOI
Tanoto A, Li H, Rückert U, Sitte J (2012)
In: Proceedings of the IEEE International Symposium on Intelligent Control (ISIC). IEEE: 19-24. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547051Local Navigation Strategies for Multi-Robot Exploration: From Simulation to Experimentation with Mini-RobotsPUB | DOI
Tanoto A, Rückert U (2012)
In: Procedia Engineering., 41. Elsevier: 1197-1203. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549895Real-time Comparison of Blind Phase Search with Different Angle Resolutions for 16-QAMPUB | DOI
Al-Bermani A, Wördehoff C, Jan OHA, Puntsri K, Rückert U, Noé R (2012)
Presented at the IEEE Photonics 2012 Conference (IPC12 - formerly LEOS), 23-27 September 2012, San Francisco, USA. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing MapsPUB | Download (ext.)
Lachmair J, Merenyi E, Porrmann M, Rückert U (2012)
In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. 645-650. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493993Multi-Robot System Validation: From Simulation to Prototyping with Minirobots in the TeleworkbenchPUB | DOI
Tanoto A, Werner F, Rückert U (2012)
In: Advances in Autonomous Mini Robots. Rückert U, Sitte J, Werner F (Eds); Heidelberg: Springer: 147-160. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2575545Hardware accelerated real time classification of hyperspectral imaging data for coffee sortingPUB | Download (ext.)
Backhaus A, Lachmair J, Rückert U, Seiffert U (2012)
In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. 627-632. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549880Phase Estimation Filter Length Tolerance for Real-Time 16-QAM Transmission System Using QPSK PartitioningPUB
Al-Bermani A, Wördehoff C, Puntsri K, Jan OHA, Rückert U, Noé R (2012)
Presented at the Workshop der ITG-Fachgruppe 5.3.1, 5-6. July 2012, Gewerkschaftshaus Nürnberg. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547438Parallel Neural Hardware: The Time is RightPUB | Download (ext.)
Rückert U, Merenyi E (2012)
Presented at the ESANN 2012 proceedings, European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. Bruges (Belgium), 25-27 April 2012. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage ControlPUB | DOI
Lütkemeier S, Jungeblut T, Porrmann M, Rückert U (2012)
In: Proc. of the International Solid-State Circuits Conference (ISSCC). Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 484-485. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354A Scalable Platform for Run-time Reconfigurable Satellite Payload ProcessingPUB | DOI | Download (ext.)
Hagemeyer J, Hilgenstein A, Jungewelter D, Cozzi D, Felicetti C, Rückert U, Korf S, Köster M, Margaglia F, Porrmann M, Dittmann F, et al. (2012)
In: 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012). Piscataway, NJ: IEEE: 9-16. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813A TCMS-based architecture for GALS NoCs.PUB | DOI
Jungeblut T, Ax J, Porrmann M, Rückert U (2012)
In: 2012 IEEE International Symposium on Circuits and Systems. IEEE Circuits and Systems Society, Institute of Electrical and Electronics Engineers (Eds); Piscataway, NJ: IEEE. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547432Real-time synchronous 16-QAM Optical Transmission system Using Blind Phase Search and QPSK Partitioning Carrier Recovery TechniquesPUB | Download (ext.)
Al-Bermani A, Wördehoff C, Puntsri K, Omar J, Rückert U, Noe R (2012)
Presented at the Photonische Netze - 13. ITG-Fachtagung 07.05.2012 - 08.05.2012 in Leipzig, Germany. -
2012 | Konferenzband | Veröffentlicht | PUB-ID: 2493982Advances in Autonomous Mini Robots: Proceedings of the 6-th AMiRE SymposiumPUB | DOI
Rückert U, Sitte J, Werner F (Eds) (2012)
Heidelberg: Springer. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAsPUB | DOI | Download (ext.)
Korf S, Cozzi D, Koester M, Hagemeyer J, Porrmann M, Rückert U, Santambrogio MD (2011)
In: IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings . Chow P (Ed); Piscataway, NJ: IEEE: 125-132. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2372545An approach for determining linear velocities of athletes from acceleration measurements using a neural networkPUB | DOI
Christ P, Werner F, Rückert U, Mielebacher J (2011)
In: Proc. of the 6th IASTED Int. Conf. on Biomechanics. Morrison B, Hamza MH (Eds); ACTA Press: 105-112. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2551440Integrated circuit optimization by means of evolutionary multi-objective optimizationPUB | DOI | Download (ext.)
Blesken MW, Chebil A, Rückert U, Esquivel X, Schuetze O (2011)
In: Proceedings of the 13th annual conference on Genetic and evolutionary computation. Association for Computing Machinery (Ed); ACM Digital Library. New York, NY, USA: ACM: 807-812. -
2011 | Konferenzbeitrag | PUB-ID: 2406730Realtime Implementation of Square 16-QAM Transmission SystemPUB | DOI
Al-Bermani A, Wördehoff C, Hoffmann S, Puntsri K, Rückert U, Noé R (2011)
Presented at the SPIE Eco-Photonics, Strasbourg, France. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507Fast Design-space Exploration with FPGA ClusterPUB | Download (ext.)
Romoth J, Hagemeyer J, Porrmann M, Rückert U (2011)
In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited)PUB | Dateien verfügbar
Jungeblut T, Ax J, Sievers G, Hübener B, Porrmann M, Rückert U (2011)
In: Proc. of the Radar, Communication and Measurement Conference (RADCOM). -
2011 | Konferenzbeitrag | PUB-ID: 2406708Synchronous 16-QAM Transmission in a FPGA-Based Coherent Receiver with Different Phase Estimation Filter LengthsPUB | Download (ext.)
Al-Bermani A, Wördehoff C, Hoffmann S, Rückert U, Noé R (2011)
Presented at the ITG-Fachtagung vom 2.-3. Mai 2011, Leipzig. -
2011 | Konferenzbeitrag | PUB-ID: 2406796Kohärente optische 16-QAM-Übertragung mit ressourceneffizienter Vorwärts-PhasenschätzungPUB
Hoffmann S, Al-Bermani A, Wördehoff C, Rückert U, Noé R (2011)
Presented at the Workshop der ITG-Fachgruppe 5.3.1, Technische Universität Dortmund. -
2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms.PUB | DOI | WoS
Nava F, Sciuto D, Santambrogio MD, Herbrechtsmeier S, Porrmann M, Witkowski U, Rückert U (2011)
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 4(3): 1-22. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2406697Nonlinear Effect of IQ Modulator in a Realtime Synchronous 16-QAM Transmission SystemPUB | DOI
Al-Bermani A, Wördehoff C, Hoffmann S, Rückert U, Noé R (2011)
Presented at the IEEE Photonics 2011 (IPC11), formerly (LEOS), Arlington, Virginia, USA. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510A Low-Power Vision Processing Platform for Mobile RobotsPUB | Download (ext.)
Griessl R, Herbrechtsmeier S, Porrmann M, Rückert U (2011)
In: Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2300464Performance Analysis of the nRF24L01 Ultra-Low-Power Transceiver in a Multi-Transmitter and Multi-Receiver ScenarioPUB | DOI
Christ P, Neuwinger B, Werner F, Rückert U (2011)
In: 2011 IEEE sensors proceedings. Piscataway, NJ: IEEE: 1205-1208. -
2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2307141Synchronous Demodulation of Coherent 16-QAM with Feedforward Carrier RecoveryPUB | DOI | WoS
Al-Bermani A, Wördehoff C, Hoffmann S, Peau T, Rückert U, Noe R (2011)
IEICE Transactions on Communications E94-B(7): 1794-1800. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286604Teleworkbench: Validating Robot Programs from Simulation to Prototyping with Minirobots (Demonstration)PUB | PDF | Download (ext.)
Tanoto A, Werner F, Rückert U, Li H (2011) . -
2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536Design-space Exploration for Flexible WLAN HardwarePUB
Jungeblut T, Liß C, Porrmann M, Rückert U (2011)
In: Cross Layer Designs in WLAN Systems. Zorba N, Skianis C, Verikoukis C (Eds); Leicester, UK: Troubador Publishing: 521-564. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286608Design of 9T SRAM for dynamic voltage supplies by a multiobjective optimization approachPUB | DOI
Berge AHKO, Blesken BM, Aunet CS, Rückert U (2010)
In: Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on. IEEE Circuits and Systems Society, Institute of Electrical and Electronics Engineers (Eds); Piscataway, NJ: IEEE: 319-322. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475069Multiobjective optimization for transistor sizing sub-threshold CMOSlogic standard cellsPUB | DOI
Blesken M, Lütkemeier S, Rückert U (2010)
In: Proc. IEEE Int Circuits and Systems (ISCAS) Symp. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 1480-1483. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968280Real-Time Phase-Noise-Tolerant 2.5-Gb/s Synchronous 16-QAM TransmissionPUB | DOI | WoS
Al-Bermani A, Wördehoff C, Hoffmann S, Sandel D, Rückert U, Noe R (2010)
IEEE Photonics Technology Letters 22(24): 1823-1825. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968122Hardware-Efficient Phase Estimation for Digital Coherent Transmission With Star Constellation QAMPUB | DOI | WoS
Hoffmann S, Wördehoff C, Al-Bermani A, El-Darawy M, Puntsri K, Rückert U, Noe R (2010)
IEEE Photonics Journal 2(2): 174-180. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 1940858Detection of Body Movement and Measurement of Physiological Stress with a Mobile Chest Module in Obesity PreventionPUB
Christ P, Mielebacher J, Haag M, Rückert U (2010)
In: Australasian Conference on Mathematics and Computers in Sport. Bredford A, Owens M (Eds); 67-74. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018530Hardware-effiziente Phasenschätzung für kohärenten QAM-Empfang mit regulären Stern-KonstellationenPUB | Download (ext.)
Hoffmann S, Wördehoff C, al Bermani A, Rückert U, Noe R (2010)
In: 11. ITG-Fachtagung "Photonische Netze"., 222. ITG/VDE: 221-224. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622Extending GigaNoC towards a Dependable Network-on-ChipPUB
Puttmann C, Porrmann M, Rückert U (2010)
In: Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC). -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549Design Space Exploration for Memory Subsystems of VLIW ArchitecturesPUB | DOI
Jungeblut T, Sievers G, Porrmann M, Rückert U (2010)
In: 5th IEEE International Conference on Networking, Architecture, and Storage. 377-385. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018570The Teleworkbench: A Platform for Performing and Comparing Experiments in Robot NavigationPUB | Download (ext.)
Werner F, Rückert U, Tanoto A, Welzel J (2010)
In: Proceedings of the Workshop on The Role of Experiments in Robotics Research. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018575An Integrated Monitoring and Analysis System for Performance Data of Indoor Sport ActivitiesPUB | PDF
Wilhelm P, Thomas P, Monier E, Timmermann R, Dellnitz M, Werner F, Rückert U (2010)
In: The 10th Australasian Conference on Mathematics and Computers in Sport. -
2010 | Konferenzbeitrag | PUB-ID: 2286616A Framework for the Design Space Exploration of Software-Defined Radio ApplicationsPUB | Download (ext.)
Jungeblut T, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2010) . -
2010 | Konferenzbeitrag | PUB-ID: 2286628A modular design flow for very large design space explorationsPUB | Dateien verfügbar
Jungeblut T, Lütkemeier S, Sievers G, Porrmann M, Rückert U (2010) . -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018490Implementation of Coherent 16-QAM Digital Receiver with Feedforward Carrier RecoveryPUB | Download (ext.)
al Bermani A, Noe R, Hoffmann S, Wördehoff C, Rückert U, Pfau T (2010)
In: Signal Processing in Photonic Communications. IEEE Xplore. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve CryptographyPUB | PDF | DOI | Download (ext.)
Jungeblut T, Puttmann C, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2010)
Advances in Radio Science 8: 295-305. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423Design Optimizations for Tiled Partially Reconfigurable SystemsPUB | DOI | WoS
Koester M, Luk W, Hagemeyer J, Porrmann M, Rückert U (2010)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19(6): 1048-1061. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018485Demonstrating self-optimization using a heterogeneous robot groupPUB | DOI
Adelt P, Kleinjohann B, Herbrechtsmeier S, Rückert U (2010)
In: Proceedings of the 8th IEEE International Conference on Industrial Informatics. Piscataway, NJ: IEEE: 727-732. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018497Realtime 16-QAM Transmission with Coherent Digital ReceiverPUB | Download (ext.)
Al Bermani A, Wördehoff C, Hoffmann S, Puntsri K, Pfau T, Rückert U, Noe R (2010)
In: OECC 2010. IEEE Xplore. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018505First Realtime Synchronous 16-QAM Transmission with Coherent Digital ReceiverPUB | Download (ext.)
al Bermani A, Wördehoff C, Pfau T, Hoffmann S, Rückert U, Noe R (2010)
In: 11. ITG-Fachtagung "Photonische Netze"., 222. ITG/VDE: 153-156. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018517Robust Multihop Communication for Mobile ApplicationsPUB | Download (ext.)
Herbrechtsmeier S, El Habbal MAM, Rückert U, Witkowski U (2010)
In: Proceedings of IARP Workshop on Robotics for Risky Interventions and Environmental Surveillance (RISE) 2010. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557Runtime Reconfiguration of Multiprocessors Based on Compile-Time AnalysisPUB | DOI | WoS
Purnaprajna M, Porrmann M, Rückert U, Hussmann M, Thies M, Kastens U (2010)
ACM Transactions on Reconfigurable Technology 3(3): 1-25. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564High Level Specification of Embedded Listeners for Monitoring of Network-on-ChipsPUB | DOI
Puttmann C, Porrmann M, Grassi PR, Santambrogio MD, Rückert U (2010)
In: Proceedings of the IEEE International Symposium on Circuits and Systems. 3333-3336. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1929613A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current MirrorPUB | DOI | WoS
Lütkemeier S, Rückert U (2010)
IEEE Transactions on Circuits and Systems II: Express Briefs 57(9): 721-724. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286299Multiobjective optimization for transistor sizing of CMOS logic standard cells using set-oriented numerical techniquesPUB | DOI
Blesken M, Rückert U, Steenken D, Witting K, Dellnitz M (2009)
In: NORCHIP, 2009. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 1-4. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289299A Sub-200mV 32bit ALU with 0.45pJ/instruction in 90nm CMOSPUB
Lütkemeier S, Kaulmann T, Rückert U (2009)
In: Semiconductor Conference Dresden. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289340Vision Module for Mini-robots Providing Optical Flow Processing for Obstacle AvoidancePUB | DOI
Chinapirom T, Witkowski U, Rückert U (2009)
In: Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics. Berlin, Heidelberg: Springer-Verlag: 208-219. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752Using Run-time Reconfiguration for Energy Savings in Parallel Data ProcessingPUB | PDF
Purnaprajna M, Pohl C, Porrmann M, Rückert U (2009)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA. 119-125. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757A Synchronization Method for Register Traces of Pipelined ProcessorsPUB | Download (ext.)
Dreesen R, Jungeblut T, Thies M, Porrmann M, Rückert U, Kastens U (2009)
In: Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany: 207-217. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144795Analysis of an ASIC-based Coherent Polarization-Multiplexed QPSK Receiver and Different Receiver FrontendsPUB | Download (ext.)
El-Darawy M, Herath V, Pfau T, Hoffmann S, Peveling R, Adamczyk O, Wördehoff C, Noe R, Rückert U (2009)
In: 10. ITG-Fachtagung "Photonische Netze". Leipzig, Germany: ITG/VDE. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144865SPA - A System for Analysis of Indoor Team Sports Using Video Tracking and Wireless Sensor NetworkPUB | PDF
Wilhelm P, Monier E, Thomas P, Rückert U (2009)
In: 6th International Symposium on Image and Signal Processing and Analysis (ISPA 2009). Salzburg, Austria. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable ApplicationsPUB | DOI
Paiz C, Pohl C, Radkowski R, Hagemeyer J, Porrmann M, Rückert U (2009)
In: Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09). IEEE Circuits and Systems Society, IEEE Electron Devices Society, Institute of Electrical and Electronics Engineers (Eds); The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE: 372-375. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772InCyte ChipEstimator in Research and EducationPUB
Liß C, Porrmann M, Rückert U (2009)
In: CDNLive EMEA 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144791Ad-Hoc Communication and Localization System for Mobile RobotsPUB | DOI
Neuwinger B, Witkowski U, Rückert U (2009)
In: Advances in Robotics., 5744/2009. Berlin, Heidelberg: Springer-Verlag: 220-229. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144809Realtime Phase Tracking with Multiplier-Free Barycenter Approximation in Digital Synchronous QPSK Receiver for Coherent DetectionPUB | DOI
Hoffmann S, El-Darawy M, Pfau T, Wördehoff C, Peveling R, Rückert U, Noe R (2009)
In: LEOS, Annual Meeting 2009. IEEE Photonics Society (Ed); Belek-Antalya, Turkey: IEEE. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830Design Space Exploration for Next Generation Wireless Technologies (invited talk).PUB
Jungeblut T, Klassen D, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2009)
In: Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880Using a Reconfigurable Compute Cluster for the Acceleration of Neural NetworksPUB | DOI
Pohl C, Hagemeyer J, Porrmann M, Rückert U (2009)
In: Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09). IEEE Circuits and Systems Society, IEEE Electron Devices Society, Institute of Electrical and Electronics Engineers (Eds); Sydney, Australia: IEEE: 368-371. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144885Realization of Digital Coherent ReceiversPUB | DOI
Noe R, Rückert U, Hoffmann S, Pfau T, Peveling R (2009)
In: LEOS, Annual Meeting 2009. IEEE Photonics Society (Ed); Belek-Antalya, Turkey: IEEE. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289332Topology Control in Large-Scale High Dynamic Mobile Ad-Hoc NetworksPUB | DOI
El-Habbal M, Rückert U, Witkowski U (2009)
In: Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics. Berlin, Heidelberg: Springer-Verlag: 239-250. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285840Real-time implementation of digital coherent detectionPUB | Download (ext.)
Noe R, Rückert U, Hoffmann S, Peveling R, Pfau T, El-Darawy M, Al-Bermani A (2009)
In: Optical Communication, 2009. ECOC '09. 35th European Conference on. 1-4. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144786UMAC – A Universal MAC Architecture for Heterogeneous Home NetworksPUB | DOI
Loeb H-P, Liß C, Sauer C, Rückert U (2009)
In: The International Workshop on Wireless and Optical Networks (WI-OPT 2009), Workshop at International Conference on Ultra Modern Telecommunications (ICUMT-2009). Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144856Multiplier-Free Realtime Phase Tracking in Digital Synchronous QPSK, Receiver for Coherent Optical DetectionPUB | DOI
Hoffmann S, Herath V, El-Darawy M, Pfau T, Wördehoff C, Peveling R, Rückert U, Noe R (2009)
In: ICIIS2009, CIE3-1, 28.-31. Dec. 2009, University of Perydenia, Sri Lanka. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE. -
2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870Run-time reconfigurability in embedded multiprocessorsPUB | DOI | Download (ext.)
Purnaprajna M, Porrmann M, Rückert U (2009)
ACM SIGARCH Computer Architecture News 37(2): 30-37. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782Early Exploration of Network Processor Architectures Using Cadence InCyte Chip EstimatorPUB
Liß C, Porrmann M, Rückert U (2009)
In: CDNLive EMEA 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144821AMiRESot – A New Robot Soccer League with Autonomous Miniature RobotsPUB | DOI
Witkowski U, Sitte J, Herbrechtsmeier S, Rückert U (2009)
In: Progress in Robotics. FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings. Communications in Computer and Information Science, 44. Springer. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144826BeBot: A Modular Mobile Miniature Robot Platform Supporting Hardware Reconfiguration and Multi-standard CommunicationPUB | DOI
Herbrechtsmeier S, Witkowski U, Rückert U (2009)
In: Progress in Robotics, Communications in Computer and Information Science. Proceedings of the FIRA RoboWorld Congress 2009. Kim C-hwan, Federation of International Robosoccer Association (Eds); Communications in computer and information science, 44. Incheon, Korea: Springer: 346-356. -
2009 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2144838Teleworkbench: A Teleoperated Platform for Experiments in Multi-RoboticsPUB | DOI
Tanoto A, Rückert U, Witkowski U (2009)
In: Web-Based Control and Robotics Education. Tzafestas SG (Ed); , 38. Dordrecht: Springer Verlag: 267-296. -
2009 | Monographie | Veröffentlicht | PUB-ID: 2144730Progress in Robotics, Proceedings of the FIRA RoboWorld Congress 2009PUB | DOI
Kim J-H, Sam Ge S, Vadakkepat P, Jesse N, Al Mamun A, Puthusserypady S, Rückert U, Sitte J, Witkowski U, Nakatsu R, Braunl T, et al. (2009) Lecture Notes in Computer Science, Vol. 44, 2009, ISBN: 978-3-642-03985-0.
Incheon, Korea: Springer. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144805A Computer Vision Based Tracking System for Indoor Team SportsPUB | PDF
Monier E, Wilhelm P, Rückert U (2009)
In: The fourth International Conference on Intelligent Computing and Information Systems. Cairo, Egypt. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144817Template Matching Based Tracking of Players in Indoor Team SportsPUB | DOI
Monier E, Wilhelm P, Rückert U (2009)
In: Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC 2009). Como, Italy: IEEE. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843FPGA-Based Realization of Self-Optimizing Drive-ControllersPUB | PDF | DOI | Download (ext.)
Paiz C, Hagemeyer J, Pohl C, Porrmann M, Rückert U, Schulz B, Peters W, Böcker J (2009)
In: the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009). IEEE Industrial Electronics Society, Institute of Electrical and Electronics Engineers, Keisoku-jidō-seigyō-gakkai, Universidade do Porto (Eds); Piscataway, NJ: IEEE: 2868-2873. -
2009 | Monographie | Veröffentlicht | PUB-ID: 2144898Advances in Robotics, Proceedings of the FIRA RoboWorld Congress 2009PUB | DOI
Kim J-H, Sam Ge S, Vadakkepat P, Jesse N, Al Mamun A, Puthusserypady S, Rückert U, Sitte J, Witkowski U, Nakatsu R, Braunl T, et al. (2009) Lecture Notes in Computer Science; 5744, 1st ed.
Incheon, Korea: Springer. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289189Modified Local Navigation Strategy for Un-known Environment ExplorationPUB
Amin S, Tanoto A, Witkowski U, Rückert U, Abdel-Wahaab M (2008)
In: 5th IEEE International Conference on Informatics in Control, Automation and Robotics. Funchal, Madeira, Portugal. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237Realtime multiprocessor for mobile ad hoc networksPUB | PDF | DOI | Download (ext.)
Jungeblut T, Grünewald M, Porrmann M, Rückert U (2008)
Advances in Radio Science 6: 239-243. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289244Powerful Miniature Robot for Research and EducationPUB
Witkowski U, Herbrechtsmeier S, El Habbal MAM, Rückert U (2008)
In: IEEE Proceedings of the, 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria. -
2008 | Report | PUB-ID: 2285789Abschlußbericht zum EU-Projekt: synQPSKPUB
Noe R, Rückert U (2008)
Heinz Nixdorf Institut, Universität Paderborn. -
2008 | Report | PUB-ID: 2285797Abschlußbericht des DFG-Projektes: Ressourceneffiziente Hardware-Software-Kombinationen für Kryptographie mit elliptischen KurvenPUB
von zur Gathen J, Rückert U (2008)
Heinz Nixdorf Institut, Universität Paderborn. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285920An automated platform for minirobots experimentsPUB | DOI
Witkowski U, Monier E, Rückert U, El Ghoul S, El-Ghoniemy MS, Wahab MSA, Fouad A, Hussein A, Kamal A, Abdel-Meniem M, El Khair WA (2008)
In: Control, Automation, Robotics and Vision, 2008. ICARCV 2008. 10th International Conference on. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 685-688. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205Design Space Exploration for Resource Efficient VLIW-ProcessorsPUB
Jungeblut T, Dreesen R, Porrmann M, Rückert U, Hachmann U (2008)
In: University Booth of the Design, Automation and Test in Europe (DATE) conference. -
2008 | Konferenzband | Veröffentlicht | PUB-ID: 2289231A Biologically-Inspired and Resource-Efficient Vision System using Mobile Mini-Robots for Obstacle AvoidancePUB
Chinapirom T, Witkowski U, Rückert U (Eds) (2008) . -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175Hardware Accelerators for Elliptic Curve CryptographyPUB | PDF | DOI | Download (ext.)
Puttmann C, Shokrollahi J, Porrmann M, Rückert U (2008)
Advances in Radio Science 6: 259-264. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289199Visual Landmarks Based on Self-localization of Mobile Robot Using an Alternative Geometric Triangulation AlgorithmPUB
Ebied H, Witkowski U, Rückert U (2008)
In: The 5th International Conference on Computational Intelligence, Robotics and Autonomous Systems (CIRAS),19-21 June-2008, Linz, Austria. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289221Effect of Global Position Information in Unknown World Exploration – A Case Study using the TeleworkbenchPUB | DOI | WoS
Amin S, Tanoto A, Witkowski U, Rückert U, Abdel-Wahab M (2008)
In: IEEE Proceedings of the 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria., 57(10). Elsevier BV: 1042-1047. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289183Robot Localization Based on Visual LandmarksPUB
Ebied H, Witkowski U, Rückert U (2008)
In: The 5th IEEE International Conference on Informatics in Control, Automation and Robotics (ICINCO), 11-15 May-2008, Funchal, Madeira – Portugal. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289215Mobile Ad-hoc Communication applied and optimized for disaster scenariosPUB
El Habbal MAM, Witkowski U, Rückert U (2008)
In: Wireless Technologies Kongress 2008. Bochum, Germany: 25-34. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-MultiprocessorsPUB | DOI | Download (ext.)
Puttmann C, Niemann J-C, Porrmann M, Rückert U (2007)
In: 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007). Piscataway, NJ: IEEE: 495-502. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033Compiler-Driven Reconfiguration of MultiprocessorsPUB | PDF | Download (ext.)
Hussmann M, Thies M, Kastens U, Purnaprajna M, Porrmann M, Rückert U (2007)
In: Proceedings of the Workshop on Application Specific Processors (WASP) 2007. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057Real-Time Multiprocessor SoC for Mobile Ad Hoc NetworksPUB | Download (ext.)
Jungeblut T, Grünewald M, Porrmann M, Rückert U (2007)
In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289076Neural Inspired Architectures for NanoelectronicsPUB | DOI
Eickhoff R, Kaulmann T, Rückert U (2007)
In: Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN). Sandoval F (Ed); Lecture notes in computer science, 4507. Berlin, Heidelberg: Springer-Verlag: 414-421. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289090Teleworkbench: A Remotely-Accessible Robotic Laboratory foe EducationPUB | PDF | Download (ext.)
Tanoto A, Witkowski U, Rückert U (2007)
In: Spring 2007 AAAI Symposium on Robots in AI and CS Education-Robots and Robot Venues: Resources for AI Education. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289108A Control Approach to a Biophysical Neuron ModelPUB | DOI
Kaulmann T, Löffler A, Rückert U (2007)
In: Proceedings of the International Conference on Artificial Neural Networks. Sá JPM de (Ed); Lecture notes in computer science, 4668. Berlin, Heidelberg: Springer-Verlag: 529-538. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289127FPGA based speech processing for the Khepera RobotPUB
El Habbal MAM, Witkowski U, Rückert U (2007)
In: 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Buenos Aires, Argentina. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289139Environment Exploration Using Mini-Robot KheperaPUB
Amin S, Tanoto A, Witkowski U, Rückert U, Abdel-Wahaab M (2007)
In: International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2007). Buenos Aires, Argentina. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289160Controlling complexity of RBF networks by similarityPUB | PDF
Rückert U, Eickhoff R (2007)
In: ESANN. 181-186. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on LinuxPUB | DOI
Rana V, Santambrogio M, Sciuto D, Kettelhoit B, Koester M, Porrmann M, Rückert U (2007)
In: Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society. IEEE Computer Society. Technical Committee on Parallel Processing, Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds); Piscataway, NJ: IEEE. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286187Interference Suppression Technique for Diversity Selection Combining in an Indoor EnvironmentPUB | DOI
Xu F, Rückert U (2007)
In: Antennas, 2007. INICA '07. 2nd International ITG Conference on. IEEE: 53-57. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016Resource efficiency of the GigaNetIC chip multiprocessor architecturePUB | DOI | WoS
Niemann J-C, Puttmann C, Porrmann M, Rückert U (2007)
Journal of System Architecture 53(5-6): 285-299. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289096IAF Neuron Implementation for Mixed-Signal PCNN HardwarePUB | DOI
Kaulmann T, Lütkemeier S, Rückert U (2007)
In: Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN). Sandoval F (Ed); Lecture notes in computer science, 4507. Berlin, Heidelberg: Springer-Verlag: 447-454. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289149Multi-Robotics Experiments using Mini-RobotsPUB
Du JL, Tanoto A, Monier E, Witkowski U, Rückert U (2007)
In: Proceedings of the 3rd International Conference on Intelligent Computing and Information Systems (ICICIS 2007). Cairo, Egypt. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289133Robot Localization System Based on 2D-Color Vision SensorPUB
Ebied H, Witkowski U, Rückert U (2007)
In: The 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 2-5 October 2007, Buenos Aires, Argentina. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049A Multiprocessor Cache for Massively Parallel SoC ArchitecturesPUB | DOI
Niemann J-C, Liß C, Porrmann M, Rückert U (2007)
In: ARCS'07: Architecture of Computing Systems. Lukowicz P (Ed); Lecture Notes in Computer Science, 4415. Zurich, Switzerland: Springer Berlin Heidelberg: 83-97. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289102Impact of shrinking technologies on the activation function of neuronsPUB | DOI
Eickhoff R, Kaulmann T, Rückert U (2007)
In: Proceedings of the International Conference on Artificial Neural Networks. Sá JPM de (Ed); Lecture notes in computer science, 4668. Berlin, Heidelberg: Springer-Verlag: 501-510. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289114A Bluetooth Scatternet for the Khepera RobotPUB | PDF | Download (ext.)
Du JL, Witkowski U, Rückert U (2007)
In: 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Buenos Aires, Argentina: 189-195. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289121Stereoscopic Camera for Autonomous Mini-Robots Applied in KheperaSot LeaguePUB | PDF | Download (ext.)
Chinapirom T, Witkowski U, Rückert U (2007)
In: FIRA Robot World Congress 2007, on CD. San Francisco, USA. -
2007 | Konferenzband | Veröffentlicht | PUB-ID: 2285612Autonomous Minirobots for Research and EdutainmentPUB
Rückert U, Sitte J, Witkowski U (Eds) (2007) ; 216.
Heinz Nixdorf Institut, Universität Paderborn. -
2007 | Report | PUB-ID: 2285787Abschlußbericht zum BMBF-Projekt: NGN-PlaNets: Platforms for Networked ServicesPUB
Rückert U (2007)
Heinz Nixdorf Institut, Universität Paderborn. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145075Characterization of Analog Local Cluster Neural Network Hardware for ControlPUB | DOI | WoS | PubMed | Europe PMC
Sitte J, Zhang L, Rückert U (2007)
IEEE Transactions on Neural Networks, Special Issue on Neural Networks for Feedback Control Systems 18(4): 1242-1253. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145265Robustness of radial basis functionsPUB | DOI | Download (ext.) | WoS
Eickhoff R, Rückert U (2007)
Neurocomputing 70(16-18): 2758-2767. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286250A Digital Framework for Pulse Coded Neural Network Hardware with Bit-Serial OperationPUB
Kaulmann T, Dikmen D, Rückert U (2007)
In: Hybrid Intelligent Systems, 2007. HIS 2007. 7th International Conference on. 302-307. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724Defragmentation Algorithms for Partially Reconfigurable HardwarePUB | DOI
Köster M, Kalte H, Porrmann M, Rückert U (2007)
VLSI-SoC: From Systems to Silicon 240: 41-53. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286265SSB: A new diversity selection combining scheme and its test-bed implementationPUB | DOI
Xu F, Rückert U (2007)
In: Telecommunications and Malaysia International Conference on Communications, 2007. ICT-MICC 2007. IEEE International Conference on. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 561-566. -
2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718Implementation of Self-Organizing Feature Maps in Reconfigurable HardwarePUB | DOI
Porrmann M, Witkowski U, Rückert U (2006)
In: FPGA Implementations of Neural Networks. Omondi A, Rajapakse J (Eds); Boston, MA: Springer: 247-269. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289026Pareto-optimal noise and approximation properties of RBFnetworksPUB | DOI
Eickhoff R, Rückert U (2006)
In: Proceedings of the 16th International Conference on Artificial Neural Networks (ICANN). Kollias S (Ed); Athens, Greece: Springer Berlin Heidelberg: pp.:993-1002. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289009Teleworkbench: An Analysis Tool for Multi-Robotic ExperimentsPUB | PDF | Download (ext.)
Tanoto A, Du JL, Witkowski U, Rückert U (2006)
In: Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006), 19th World Computer Congress (WCC). Santiago, Chile. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network ApplicationsPUB | DOI
Niemann J-C, Puttmann C, Porrmann M, Rückert U (2006)
In: ARCS'06 Architecture of Computing Systems. Grass W (Ed); Lecture notes in computer science, 3894. Berlin, Heidelberg: Springer Berlin Heidelberg: 268-282. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289022Neighborhood Discovery and MAC Protocol for MANETs using a Low Complexity Directional SchemePUB
Xu F, Rückert U (2006)
In: Proceedings of World Mobile Congress (WMC’06). Beijing, China, 11-13. Sep. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288994Self-Orientation of Soccer Robots on Soccer Pitch by Identifying Pitch LinesPUB
Witkowski U, Chinapirom T, Rückert U (2006)
In: Proceedings of FIRA RoboWorld Congress. Dortmund, Germany: 13-18. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289000Universal mini-robot with micro-processor and reconfigurable hardwarePUB
Kaulmann T, Witkowski U, Chinapirom T, Rückert U (2006)
In: Proc. of FIRA RoboWorld Conference 2006. 137-142. -
2006 | Patent | Veröffentlicht | PUB-ID: 2494093Flexible Beschleunigungseinheit für die Verarbeitung von DatenpaketenPUB
Niemann J-C, Sauer C, Porrmann M, Rückert U (2006) . -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969A Lightweight NoC for the NOVA Packet Processing PlattformPUB | PDF | Download (ext.)
Sauer C, Gries M, Dirk S, Niemann J-C, Porrmann M, Rückert U (2006)
In: Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop. Munich, Germany. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288988Robust Local Cluster Neural Networks (ESANN)PUB | PDF | Download (ext.)
Eickhoff R, Sitte J, Rückert U (2006)
In: Proceedings of the 14th European Symposium on Artificial Neural Networks (ESANN). Bruges, Belgium: 119-124. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289018Neighborhood Discovery and MAC Protocol for MANETs using the Multiple-directional-antennas SchemePUB
Xu F, Rückert U (2006)
In: Proceedings of VDE Kongress – ITG Fachtagung 'Mobility'. Aachen, Germany, 23.-25. Oct. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286083Enhancing Fault Tolerance of Radial Basis FunctionsPUB | DOI
Eickhoff R, Rückert U (2006)
In: Neural Networks, 2006. IJCNN '06. International Joint Conference on. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 5066-5073. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278Bio-inspired massively parallel architectures for nanotechnologiesPUB | DOI
Jäger B, Porrmann M, Rückert U (2006)
In: Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006). IEEE Circuits and Systems Society (Ed); Piscataway, NJ: IEEE: 1961-1964. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286350SIRENS: A Simple Reconfigurable Neural Hardware Structure for artificial neural network implementationsPUB | DOI
Eickhoff R, Kaulmann T, Rückert U (2006)
In: Neural Networks, 2006. IJCNN '06. International Joint Conference on. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 2830-2837. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285694Robustness of Radial Basis FunctionsPUB | DOI | WoS
Eickhoff R, Rückert U (2006)
Neurocomputing 70(16-18): 2758-2767. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882Adaptable Switch boxes as on-chip routing nodes for networks-on-chipPUB | PDF | DOI | Download (ext.)
Eickhoff R, Niemann J-C, Porrmann M, Rückert U (2005)
In: From Specification to Embedded Systems Application . Rettberg A, Zanella MC, Rammig FJ (Eds); IFIP On-Line Library in Computer Science, 184. Boston, MA: Springer: 201-210. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-RoadmapPUB
Liß C, Peveling R, Porrmann M, Rückert U (2005)
In: Symposium fuer Vorausschau und Technologieplanung. Berlin, Germany: 87-103. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288927Neural Inspired Architectures for NanoelectronicsPUB | DOI
Rückert U, Beiu V (2005)
In: Second International Conference on intelligent Computing and Information Systems – ICICIS 2005. Sandoval F (Ed); Lecture notes in computer science, 4507. Cairo, Egypt: Springer Berlin Heidelberg: 1-2. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288816Teleworkbench: A Teleoperated Platform for Multi-Robot ExperimentsPUB | DOI
Tanoto A, Witkowski U, Rückert U (2005)
In: Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005). Awara-Spa, Fukui, JAPAN: Springer-Verlag. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288823Sensornahe Signalverarbeitung mit FPGAs am Beispiel der Berechnung des optischen Flusses auf mobilen RoboternPUB
Chinapirom T, Witkowski U, Rückert U (2005)
In: 3. Paderborner Workshop: Intelligente Mechatronische Systeme. Paderborn. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288861Analog VLSI Implementation of Adaptive Synapses in Pulsed Neural NetworksPUB | DOI
Kaulmann T, Ferber M, Witkowski U, Rückert U (2005)
In: Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). Cabestany J, Prieto A, Sandoval DF (Eds); Lecture notes in computer science, 3512(3512). Berlin, Heidelberg: Springer Berlin Heidelberg: 455-462. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900Rekonfigurierbare Hardware zur Regelung mechatronischer SystemePUB
Kettelhoit B, Klassen A, Paiz C, Porrmann M, Rückert U (2005)
In: 3. Paderborner Workshop: Intelligente mechatronische Systeme. 195-205. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288955Universal FPGA-Microcontroller Module for Autonomous MinirobotsPUB | DOI
Chinapirom T, Witkowski U, Rückert U (2005)
In: AMiRE. Berlin/Heidelberg: Springer-Verlag: 21-26. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285950A low complexity directional scheme for mobile ad hoc networksPUB | DOI | Download (ext.)
Xu F, Grunewald M, Rückert U (2005)
In: Personal, Indoor and Mobile Radio Communications, 2005. PIMRC 2005. IEEE 16th International Symposium on., 2. IEEE: 1349-1353. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050Placement-Oriented Modeling of Partially Reconfigurable ArchitecturesPUB | Download (ext.)
Koester M, Porrmann M, Rückert U (2005)
In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286202CSD: cell-based service discovery in large-scale robot networksPUB | DOI
Du JL, Witkowski U, Rückert U (2005)
In: Intelligent Robots and Systems, 2005. (IROS 2005). 2005 IEEE/RSJ International Conference on. IEEE: 2235-2240. -
2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286A framework for design space exploration of resource efficient network processing on multiprocessor SoCsPUB | DOI
Grünewald M, Niemann J-C, Porrmann M, Rückert U (2005)
In: Network Processor Design: Issues and Practices. Crowely P, Franklin MA, Hadimioglu H, Onufryk PZ (Eds); , 3. Morgan Kaufmann Publisher: 245-277. -
2005 | Report | PUB-ID: 2285785Abschlußbericht zum Projekt: Aktives Nachsichtsystem für mehr Sicherheit im StraßenverkehrPUB
Rückert U (2005)
Heinz Nixdorf Institut, Universität Paderborn. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853An Evaluation of the Scalable GigaNetIC Architecture for Access NetworksPUB | PDF
Niemann J-C, Porrmann M, Sauer C, Rückert U (2005)
In: Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005). -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288920Dynamic Reconfiguration of Universal FPGA-Microcontroller ModulePUB
Chinapirom T, Witkowski U, Rückert U (2005)
In: FIRA RoboWorld Congress 2005. Singapore. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288932Explorative Data Analysis Based on Self-Organizing Maps and Automatic Map AnalysisPUB | PDF
Franzmeier M, Rückert U, Witkowski U (2005)
In: Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). Cabestany J, Prieto A, Sandoval DF (Eds); (3512). 725-733. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944Dynamically reconfigurable hardware for digital controllers in mechatronic systemsPUB | DOI
Paiz C, Kettelhoit B, Klassen A, Porrmann M, Rückert U (2005)
In: IEEE International Conference on Mechatronics (ICM 2005). IEEE Industrial Electronics Society (Ed); Piscataway, NJ: IEEE: 675-680. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic SystemsPUB
Kettelhoit B, Kalte H, Porrmann M, Rückert U (2005)
In: 5. GMM/ITG/GI-Workshop Multi-Nature Systems. 97-101. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288843Increasing the Resource-Efficiency of the CSMA/CA Protocol in Directional Ad Hoc NetworksPUB | DOI
Grünewald M, Xu F, Rückert U (2005)
In: Proceedings of the 4th International Conference on AD-HOCNetworks & Wireless. Syrotiuk VR (Ed); Lecture notes in computer science, 3738. Cancun, Mexico: Springer Berlin Heidelberg: 360. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288806Robustness of Radial Basis FunctionsPUB
Eickhoff R, Rückert U (2005)
In: Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). Cabestany J, Prieto A, Sandoval DF (Eds); (3512). Barcelona, Spain: 264-271. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288837Tolerance of Radial-Basis Functions Against Stuck-At-FaultsPUB | PDF | Download (ext.)
Eickhoff R, Rückert U (2005)
In: Proceedings of the International Conference on Artificial Neural Networks (ICANN).(3697). Warsaw, Poland: 1003-1008. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288875Teleoperation of a Mobile Autonomous Robot using Web ServicesPUB | DOI
Du JL, Witkowski U, Rückert U (2005)
In: Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005). Fukui, Japan: Springer-Verlag. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286007Fault-tolerance of basis function networks using tensor product stabilizersPUB | DOI
Eickhoff R, Rückert U (2005)
In: Systems, Man and Cybernetics, 2005 IEEE International Conference on., 3. IEEE: 2144-2149. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286292Analytical approach to massively parallel architectures for nanotechnologiesPUB | DOI
Jager B, Niemann J-C, Rückert U (2005)
In: Application-Specific Systems, Architecture Processors, 2005. ASAP 2005. 16th IEEE International Conference on. IEEE: 268-275. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285904Resource and service discovery for large-scale robot networks in disaster scenariosPUB | DOI
Du JL, Ruhrup S, Witkowski U, Rückert U (2005)
In: Safety, Security and Rescue Robotics, Workshop, 2005 IEEE International. Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 7-12. -
2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654A System Approach for Partially Reconfigurable ArchitecturesPUB | DOI
Kalte H, Kettelhoit B, Koester M, Porrmann M, Rückert U (2005)
International Journal of Embedded Systems (IJES), Inderscience Publisher 1(3/4): 274-290. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable SystemsPUB | DOI
Kalte H, Lee G, Porrmann M, Rückert U (2005)
In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. IEEE. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286284Low-cost Bluetooth Communication for the Autonomous Mobile Minirobot KheperaPUB | DOI
Grosseschallau M, Witkowski U, Rückert U (2005)
In: Robotics and Automation, 2005. ICRA 2005. Proceedings of the 2005 IEEE International Conference on. IEEE: 4194-4199. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309A scalable parallel SoC architecture for network processorsPUB | DOI
Niemann J-G, Porrmann M, Rückert U (2005)
In: VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on. IEEE: 311-313. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288768Power Control in Directional Mobile Ad Hoc NetworksPUB
Grünewald M, Xu F, Rückert U (2004)
In: VDE Kongress – ITG Fachtagung 'Ambient Intelligence'. Berlin, Germany: 169-174. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288782Aktives Nachtsichtsystem für autonome mobile RoboterPUB
Witkowski U, Rückert U (2004)
In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'., 1. Berlin, Germany. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286376On nanoelectronic architectural challenges and solutionsPUB | DOI
Beiu V, Rückert U, Roy S, Nyathi J (2004)
In: Nanotechnology, 2004. 4th IEEE Conference on. IEEE: 628-631. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer HardwarePUB
Kalte H, Porrmann M, Rückert U (2004)
In: ARCS 2004 – Organic and Pervasive Computing. 234-244. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760Dynamische Rekonfiguration echtzeitfähiger NetzwerkschnittstellenPUB
Vonnahme E, Griese B, Porrmann M, Rückert U (2004)
In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'.(Band 1). Berlin, Germany: VDE Verlag: 99-104. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288796Fuzzy Logic Based Intelligent Motion Control of Robots Swarm Simulated by Khepera RobotsPUB | DOI
Minchev Z, Manolov O, Noykov S, Witkowski U, Rückert U (2004)
In: IEEE International Conference on Intelligent Systems. IEEE Instrumentation and Measurement Society (Ed); Piscataway, NJ: IEEE: 305-310. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912Dynamic Reconfiguration of Real-Time Network InterfacesPUB | DOI
Vonnahme E, Griese G, Porrmann M, Rückert U (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 376-379. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285962Pattern synchronization for associative memory in pulse coded neural networksPUB | DOI
Witkowski U, Rückert U (2004)
In: Circuits and Systems, 2004. MWSCAS '04. The 2004 47th Midwest Symposium on., 2. IEEE: II-381-II-384. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101A mapping strategy for resource-efficient network processing on multiprocessor SoCsPUB | DOI
Grunewald M, Niemann J-C, Porrmann M, Rückert U (2004)
In: Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings. European Design Automation Association (Ed); , 2. Los Alamitos, Calif. : IEEE Comput. Soc: 758-763. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233Study on column wise design compaction for reconfigurable systemsPUB | DOI
Kalte H, Lee G, Porrmann M, Rückert U (2004)
In: Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. IEEE Electron Devices Society, School of Information Technology and Electrical Engineering (Eds); Piscataway, NJ: IEEE: 413-416. -
2004 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145314A Ray-Tracing Approach for Simulating Recognition Abilities of Active Infrared Sensor ArraysPUB | DOI
Iske B, Jäger B, Rückert U (2004)
IEEE Sensors Journal 4(2): 237-247. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288714V:Drive – Costs and Benefits of an Out-of-Band Storage Virtualization SystemPUB | PDF
Brinkmann A, Heidebuer M, Meyer auf der Heide F, Rückert U, Salzwedel K, Vodisek M (2004)
In: Proceedings of the 12th NASA Goddard, 21st IEEE Conference on Mass Storage Systems and Technologies (MSST). College Park, Maryland, USA: 153-157. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776Parallele Architekturen für NetzwerkprozessorenPUB | Download (ext.)
Niemann J-C, Porrmann M, Rückert U (2004)
In: Ambient Intelligence, VDE Kongress., 1. VDE Verlag: 105-110. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288788Visual Object Recognition by 2D-Color Camera and On-Board Information Processing for MinirobotsPUB
Chinapirom T, Kaulmann T, Witkowski U, Rückert U (2004)
In: Proceedings of the FIRA Robot World Congress. Busan, South Korea. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730A Comparative Study on System Approaches for Partially Reconfigurable ArchitecturesPUB
Kalte H, Koester M, Kettelhoit B, Porrmann M, Rückert U (2004)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04). Plaks T (Ed); Las Vegas, Nevada, USA: CSREA Press: 70-76. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC ArchitecturesPUB | DOI
Griese B, Vonnahme E, Porrmann M, Rückert U (2004)
In: Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004). Becker J (Ed); Lecture notes in computer science, 3203. Antwerp, Belgium: Springer Berlin Heidelberg: 842-846. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700A framework for design space exploration of resource efficient network processing on multiprocessor SoCsPUB
Grünewald M, Niemann J-C, Porrmann M, Rückert U (2004)
In: Proceedings of the 3rd Workshop on Network Processors & Applications. Madrid, Spain: 87-101. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288750Cooperating autonomous and mobile minirobots in dynamic environmentsPUB
Witkowski U, Chinapirom T, Du JL, Rückert U, Manolov O (2004)
In: International Federation of Automatic Control – IFAC – DECOM-TT. Bansko, Bulgaria. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146Network application driven instruction set extensions for embedded processing clustersPUB | DOI
Grunewald M, Le DK, Kastens U, Niemann J-C, Porrmann M, Rückert U, Slowik A, Thies M (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 209-214. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942Hardware Accelerated Data AnalysisPUB | DOI
Franzmeier M, Pohl C, Porrmann M, Rückert U (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 309-314. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356System-on-programmable-chip approach enabling online fine-grained 1D-placementPUB | DOI
Kalte H, Porrmann M, Rückert U (2004)
In: Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International. IEEE: 141. -
2004 | Report | PUB-ID: 2285779Abschlußbericht zum BMBF-Projekt: GigaNet-IC: Netzwerktechnik der nächsten GenerationPUB
Rückert U (2004)
Heinz Nixdorf Institut, Universität Paderborn. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286044Distributed path selection (DPS) a traffic engineering protocol for IP-networksPUB | DOI
Loeser C, Brinkmann A, Rückert U (2004)
In: System Sciences, 2004. Proceedings of the 37th Annual Hawaii International Conference on. IEEE: 8. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138gNBX - reconfigurable hardware acceleration of self-organizing mapsPUB | DOI
Pohl C, Franzmeier M, Porrmann M, Rückert U (2004)
In: Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. IEEE Electron Devices Society, School of Information Technology and Electrical Engineering (Eds); Piscataway, NJ: IEEE: 97-104. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288617Long-running Experiments using the Minirobot Khepera with Automatic Charging StationPUB
Witkowski U, Bandyk M, Rückert U (2003)
In: Proc. of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment AMiRE03. Brisbane, Australia: 249-252. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288631Resolution Analysis of Infrared Sensor ArraysPUB
Iske B, Schlößer S, Rückert U (2003)
In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment (AMiRE). Brisbane, Australia: 153-162. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288667Communication Between Khepera Mini Robots For Cooperative PositioningPUB | PDF
Grünewald M, Iske B, Klahold J, Manolov O, Orhan O, Rückert U, Witkowski U (2003)
In: Proceedings of the International Conference Automatics and Informatics’03., 1. Sofia, Bulgaria: 95-98. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288681Gard – An Intelligent System for Distributed Exploration of Landmine Fields Simulated by a Team of Khepera RobotsPUB | PDF
Manolov O, Iske B, Noykov S, Klahold J, Georgiev G, Witkowski U, Rückert U (2003)
In: Proceedings of the International Conference Automatics and Informatics’03., 1. Sofia, Bulgaria: 199-202. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288645A Khepera communication module supporting directed power-variable transmissionPUB | PDF
Grünewald M, Rückert U (2003)
In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment. Brisbane, Australia: 243-244. -
2003 | Konferenzband | Veröffentlicht | PUB-ID: 2285753Autonomous Minirobots for Research and EdutainmentPUB
Rückert U, Sitte J, Witkowski U (Eds) (2003)
AMIRE Int. Conf. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024A holistic methodology for network processor designPUB | DOI
Bonorden O, Bruls N, Kastens U, Le DK, Heide auf der FM, Niemann J-C, Porrmann M, Rückert U, Slowik A, Thies M (2003)
In: Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on. IEEE: 583-592. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285956A performance evaluation method for optimizing embedded applicationsPUB | DOI
Grunewald M, Niemann J-C, Rückert U (2003)
In: System-on-Chip for Real-Time Applications, 2003. Proceedings. The 3rd IEEE International Workshop on. IEEE Comput. Soc: 10-15. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288625Neural Object Classification Using Ultrasonic Spectrum AnalysisPUB
Klahold J, Jürgens H, Rückert U (2003)
In: Proceedings of the 2nd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Brisbane, Australia: 219-228. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288637Directed power-variable infrared communication for the mini robot KheperaPUB
Grünewald M, Rückert U, Schindelhauer C, Volbert K (2003)
In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment. Brisbane, Australia: 113-122. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288649Storage Management as Means to cope with Exponential Information GrowthPUB | PDF
Brinkmann A, Meyer auf der Heide F, Salzwedel K, Scheideler C, Vodisek M, Rückert U (2003)
In: Proceedings of SSGRR 2003. L'Aquila, Italy. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288665Mediatronics – Things That Communicate And CooperatePUB
Rückert U (2003)
In: Proceedings of the International Conference Automatics and Informatics’03., 1. Sofia, Bulgaria: 9-12. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288661Development and Incorporation of Elementary Soccer Strategies for the Khepera Mini RobotPUB
Witkowski U, Rückert U (2003)
In: Proc. of the FIRA Robot World Congress 2003. Vienna, Austria. -
2003 | Monographie | Veröffentlicht | PUB-ID: 2285644Positioning System for the Minirobot Khepera based on Self-organizing Feature MapsPUB
Witkowski U, Rückert U (2003) Intelligent Robots: Vision, Learning and Interaction.
KAIST Press. -
2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324A Massively Parallel Architecture for Self-Organizing Feature MapsPUB | DOI | WoS | PubMed | Europe PMC
Porrmann M, Witkowski U, Rückert U (2003)
IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations 14(5): 1110-1121. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288585Positioning System for the Minirobot Khepera based on Self-organizing Feature MapsPUB
Witkowski U, Rückert U (2002)
In: Proceedings of 2002 FIRA Robot World Congress. COEX, Seoul, Korea: 463-468. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288597A Direction Sensitive Network Based on a Biophysical Neurone ModelPUB | DOI
Iske B, Löffler A, Rückert U (2002)
In: Artificial Neural Networks- ICANN 2002. Dorronsoro JR (Ed); Lecture notes in computer science, 2415. Madrid, Spain: Springer-Verlag: 153-159. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286112Continuous sonar sensing for mobile mini-robotsPUB | DOI
Klahold J, Rautenberg J, Rückert U (2002)
In: Robotics and Automation, 2002. Proceedings. ICRA '02. IEEE International Conference on., 1. Piscataway, NJ: IEEE: 323-328. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575A Prototyping Platform for Dynamically Reconfigurable System on Chip DesignsPUB
Kalte H, Porrmann M, Rückert U (2002)
In: Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286093A ray-tracing approach for simulating recognition abilities of active infrared sensor arraysPUB | DOI
Iske B, Jager B, Rückert U (2002)
In: Sensors, 2002. Proceedings of IEEE. Sensors Council (Ed); , 2. Piscataway, NJ: IEEE: 1227-1232. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286322Dynamically reconfigurable system-on-programmable-chipPUB | DOI
Kalte H, Langen D, Vonnahme E, Brinkmann A, Rückert U (2002)
In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc: 235-242. -
2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145340Mixed Mode VLSI Implementation of a Neural Associative MemoryPUB | DOI
Heittmann A, Rückert U (2002)
Analog Integrated Circuits and Signal Processing 30: 159-172. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288581Neural Associative Memory For Content-Based Information RetrievalPUB
Rückert U, Schmidt M (2002)
In: Proceedings of the First International Conference on Intelligent Computing and Information Systems, ICICIS. Cairo, Egypt: 2-32. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603A Reconfigurable SOM Hardware AcceleratorPUB | PDF
Porrmann M, Franzmeier M, Kalte H, Witkowski U, Rückert U (2002) . -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC ImplementationPUB | PDF
Langen D, Niemann J-C, Porrmann M, Kalte H, Rückert U (2002)
In: Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589Dynamically Reconfigurable Hardware – A New Perspective for Neural Network ImplementationsPUB | DOI
Porrmann M, Witkowski U, Kalte H, Rückert U (2002)
In: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002). Glesner M (Ed); Lecture notes in computer science, 2438. Montpellier, France: Springer Berlin Heidelberg: 1048-1057. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286057Extending scaling theory by adequately considering velocity saturationPUB | DOI
Langen D, Rückert U (2002)
In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE: 145-149. -
-
2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285620Simulation of Spiking Neural Networks – Architectures and ImplementationsPUB | PDF
Schäfer M, Schönauer T, Wolff C, Hartmann G, Klar H, Rückert U (2002)
Neurocomputing 48(1-4): 647-679. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896Implementation of artificial neural networks on a reconfigurable hardware acceleratorPUB | DOI
Porrmann M, Witkowski U, Kalte H, Rückert U (2002)
In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc: 243-250. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966On-chip interconnects for next generation system-on-chipsPUB | DOI
Brinkmann A, Niemann J-C, Hehemann I, Langen D, Porrmann M, Rückert U (2002)
In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE: 211-215. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288504Ultrasonic Sensor for Mobile Mini-Robots Using Pseudo-Random CodesPUB | PDF
Klahold J, Rautenberg J, Rückert U (2001)
In: Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Rückert U, Sitte J, Witkowski U (Eds); , 97. Heinz Nixdorf Institut, Universität Paderborn: 225-232. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288467Performance Analysis of a Colony of Locally Communicating RobotsPUB
Iske B, Rückert U (2001)
In: Autonomous Mini Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium. 253-260. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549The Impact of Communication on Hardware Accelerators for Neural NetworksPUB
Porrmann M, Rüping S, Rückert U (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI)., 3. Orlando, Florida, USA: 248-253. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288492Demonstration of an Ultrasonic Sensor for Mobile Minirobots Using Pseudo-Random CodesPUB
Klahold J, Rautenberg J, Rückert U (2001)
In: Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Rückert U, Sitte J, Witkowski U (Eds); , 97. Heinz Nixdorf Institut, Universität Paderborn: 335-336. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555Extension Module for Application-Specific Hardware on the Minirobot KheperaPUB | PDF
Niemann J-C, Witkowski U, Porrmann M, Rückert U (2001)
In: Autonomous Minirobots for Research and Edutainment (AMiRE 2001). Paderborn, Germany: 279-288. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288451Hardware Implementation of Self-Organizing Maps and Associative Memory on the Minirobot KheperaPUB
Witkowski U, Heittmann A, Rückert U (2001)
In: Autonomous Minirobots for Research and Edutainment – AMiRE 2001. Paderborn, Germany: 269-278. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288463Cooperative Cube Clustering using Local CommunicationPUB
Iske B, Rückert U (2001)
In: Autonomous Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium. 333-334. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288475The Mini-Robot Khepera as a Foraging Animate: Synthesis and Analysis of BehaviourPUB | PDF
Löffler A, Klahold J, Rückert U (2001)
In: Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Rückert U, Sitte J, Witkowski U (Eds); , 97. Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn: 93-130. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526XipChip – A Multiprocessor CPU for Multifunction PeripheralsPUB
Porrmann M, Rückert U, Landmann J, Marks KM (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI)., 15. Orlando, Florida, USA: 512-517. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288457Implementation and Analysis of Mobile Agents in a Simulation Environment for Fieldbus SystemsPUB | Download (ext.)
Hunstock R, Rückert U, Hanna T (2001)
In: Proccedings of the 2001 International Conference on Intelligent Agent Technology (IAT-01). Maebashi, Japan: 484-489. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature MapsPUB
Porrmann M, Kalte H, Witkowski U, Niemann J-C, Rückert U (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001., 3. Orlando, Florida, USA: 242-247. -
2001 | Konferenzband | Veröffentlicht | PUB-ID: 2285736Autonomous Minirobots for Research and EdutainmentPUB
Rückert U, Sitte J, Witkowski U (Eds) (2001) ; 97.
Heinz Nixdorf Institut, Universität Paderborn. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285818A methodology for behaviour design of autonomous systemsPUB | DOI
Iske B, Rückert U (2001)
In: Intelligent Robots and Systems, 2001. Proceedings. 2001 IEEE/RSJ International Conference on., 1. Piscataway, NJ: IEEE: 539-544. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285832ULSI architectures for artificial neural networksPUB | DOI | WoS
Rückert U (2001)
In: Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on., 22(3). Institute of Electrical and Electronics Engineers (IEEE): 436-442. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285870Content-based information retrieval using an embedded neural associative memoryPUB | DOI
Schmidt M, Rückert U (2001)
In: Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on. IEEE Comput. Soc: 443-450. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286162Measurements in switched Ethernet networks used for automation systemsPUB | DOI
Vonnahme E, Ruping S, Rückert U (2000)
In: Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on. IEEE: 231-238. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286256A bootstrapping method for autonomous and in site learning of generic navigation behaviourPUB | DOI
Iske B, Rückert U, Malmstrom K, Sitte J (2000)
In: Pattern Recognition, 2000. Proceedings. 15th International Conference on., 4. IEEE Comput. Soc: 656-659. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566Rapid Prototyping System für dynamisch rekonfigurierbare HardwarestrukturenPUB
Kalte H, Porrmann M, Rückert U (2000)
In: Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000). Karlsruhe, Germany: 149-157. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286578A Rapid Prototyping Environment for Microprocessor based System-on-Chips and its Application to the Development of a Network ProcessorPUB | DOI
Brinkmann A, Langen D, Rückert U (2000)
In: Proceedings of the 10th International Conference on Field Programmable Logic and Applications (FPL 2000). Villach, Austria: Springer Berlin Heidelberg: 838-841. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285890A distributed simulator for large networks used in building automation systemsPUB | DOI
Hunstock R, Ruping S, Rückert U (2000)
In: Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on. IEEE: 203-210. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286590Aktive Router: Ein Hardwarekonzept für Storage Area NetworksPUB | PDF
Brinkmann A, Langen D, Rückert U (2000)
In: Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik. Darmstadt, Germany: 41-46. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286370High level estimation of the area and power consumption of on-chip interconnectsPUB | DOI
Langen D, Brinkmann A, Rückert U (2000)
In: ASIC/SOC Conference, 2000. Proceedings. 13th Annual IEEE International. IEEE: 297-301. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D GraphicsPUB
Kalte H, Porrmann M, Rückert U (2000)
In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000)., 5. Monte Carlo Resort, Las Vegas, Nevada, USA: 2819-2824. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286584Abschätzung des Flächen- und Energieverbrauchs von Verbindungsstrukturen auf einem ChipPUB | PDF
Langen D, Brinkmann A, Rückert U (2000)
In: Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik. Darmstadt, Germany: 247-252. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286554Discrete Ultrasonic Sensors for Mobile Autonomous SystemsPUB
Klahold J, Löffler A, Rückert U (1999)
In: Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99). Löffler A, Mondada F, Rückert U (Eds); , 64. Heinz Nixdorf Institut, Universität Paderborn: 171-180. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285828Mixed mode VLSI implementation of a neural associative memoryPUB | DOI
Heittmann A, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 299-306. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315SOM hardware with acceleration module for graphical representation of the learning processPUB | DOI
Porrmann M, Ruping S, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 380-386. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286512Implementation of Application Specific Neural Hardware on the Mini Robot KheperaPUB
Witkowski U, Heittmann A, Rückert U (1999)
In: Proceedings of the 1st International Khepera Workshop., 64. Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn: 189-196. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286127Digital hardware realization of a hyper basis function network for on-line learningPUB | DOI
Witkowski U, Neumann T, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 205-211. -
1999 | Report | PUB-ID: 2285763Lernfähige, selbsteinstellende Antriebsregelung mit Hilfe neuronaler HardwarePUB
Grotstollen H, Schütte F, Rückert U, Witkowski U (1999) Projekt GR 948/14 im DFG Programm: Systemintegration elektrischer Antrieb. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285822An analog local cluster neural net for a 3 V supplyPUB | DOI
Korner T, Sitte J, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 292-298. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285834ParSPIKE-a parallel DSP-accelerator for dynamic simulation of large spiking neural networksPUB | DOI
Wolff C, Hartmann G, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 324-331. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286344Artificial neural networks for autonomous robot control: reflective navigation and adaptive sensor calibrationPUB | DOI
Loffler A, Klahold J, Rückert U (1999)
In: Neural Information Processing, 1999. Proceedings. ICONIP '99. 6th International Conference on., 2. IEEE: 667-672. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286546Demonstration of a Visualization Tool for the Mini-Robot KheperaPUB
Löffler A, Klahold J, Hußmann M, Rückert U (1999)
In: Web publication of the 5th International European Conference on Artificial Life (ECAL). Lausanne, Switzerland. -
1999 | Konferenzband | Veröffentlicht | PUB-ID: 2285604Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot KheperaPUB
Löffler A, Mondada F, Rückert U (Eds) (1999) ; 64.
Heinz Nixdorf Institut, Universität Paderborn. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285983Implementing Neural Soft- And Hardware On The Autonomous Mini-robot KheperaPUB | DOI
Loffler A, Klahold J, Heittmann A, Witkowski U, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 425-426. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286518Khepera Module for Wireless Infrared CAN CommunicationPUB
Rüping S, Löffler A, Odenbach C, Rückert U (1999)
In: Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99). Löffler A, Mondada F, Rückert U (Eds); , 64. Paderborn, Germany: 181-187. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286532A Visualization Tool for the Mini-Robot Khepera: Behaviour Analysis and OptimizationPUB | PDF
Löffler A, Klahold J, Hußmann M, Rückert U (1999)
In: Proceedings of the 5th International European Conference on Artificial Life (ECAL99). Floreano D, Nicoud J-D, Mondada F (Eds); , 1674. Lausanne, Switzerland: Springer-Verlag: 329-333. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468A Hybrid Knowledge Processing SystemPUB
Porrmann M, Heittmann A, Rüping S, Rückert U (1998)
In: Proceedings of the Conference Neural Networks and their Applications (NEURAP). Marseille, France: 177-184. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286502Silicon Artificial Neural NetworksPUB
Rückert U, Witkowski U (1998)
In: Proceedings of the Conference on Artificial Neural Networks, ICANN´98. Niklasson L, Bodén M, Ziemke T (Eds); Skövde, Sweden: Springer-Verlag: 75-84. -
-
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285586Hardware-Realisierung neuronaler NetzePUB
Rückert U (1998)
In: Göttinger Informatik Kolloquium – Vorträge aus den Jahren 1996/97. Haan O (Ed); (48). Göttingen, Germany: 37-54. -
1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285598Local Cluster Neural Net: Analog VLSI DesignPUB
Sitte J, Körner T, Rückert U (1998)
Neurocomputing 19: 185-197. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286476Autonome EKG-Elektrode zur kabellosen PatientenüberwachungPUB
Fuchs B, Vogel S, Schröder D, Paul R, Rückert U (1998)
In: Mikroelektronik für die Informationstechnik.(147). Hannover, Germany: 137-140. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286455A Wireless Communication System for Khepera Micro-Robots using CANPUB
Rüping S, Lücking W, Rückert U (1998)
In: Proceedings of the European Telemetry Conference (ETC98). Garmisch-Partenkirchen,Germany: 100-108. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286486The Dynamical Nightwatch's Problem Solved by the Autonomous Micro-Robot KheperaPUB | PDF
Löffler A, Klahold J, Rückert U (1998)
In: Selected Papers of the 3rd European Conference on Artificial Evolution (AE97). Hao J-K, Lutton E, Ronald E, Schoenauer M, Snyers D (Eds); , 1363. Nimes, France: Springer-Verlag: 303-313. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286390Structure- and Parameter Identification for a Two-Mass-System With Backlash and Friction Using a Self-Organizing MapPUB
Schütte F, Beineke S, Grotstollen H, Witkowski U, Rückert U, Rüping S (1997)
In: 7th European Conference on Power Electronics and Applications, EPE97., 3. Trondheim, Norway: 3358-3363. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286408An Analog Current Mode VLSI Local Cluster Neural NetPUB | DOI
Körner T, Hartmann T, Rückert U, Sitte J (1997)
In: Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems. Klar H, König A, Ramacher U (Eds); Dresden, Germany: IEEE: 257-262. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286422Implementation of a Locally Recurrent Neural Network for Speech RecognitionPUB
Körner T, Geldreich S, Rückert U, Kasper K, Reininger H, Wüst H (1997)
In: Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems. Klar H, König A, Ramacher U (Eds); Dresden, Germany: 50-55. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286441Digital VLSI Implementation of a Neural Associative MemoryPUB
Heittmann A, Malin J, Pintaske C, Rückert U (1997)
In: Proceedings of the 6th International Conference on Microelectronics for Neural Network, Evolutionary and Fuzzy Systems. Klar H, König A, Ramacher U (Eds); Dresden, Germany: 280-285. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286012System identification using selforganizing feature mapsPUB | DOI
Witkosski U, Ruping S, Rückert U, Schutte F, Beineke S, Grotstollen H (1997)
In: Artificial Neural Networks, Fifth International Conference on (Conf. Publ. No. 440). IEE: 100-105. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384A High Performance SOFM Hardware-SystemPUB | PDF | Download (ext.)
Rüping S, Porrmann M, Rückert U (1997)
In: Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97). Lanzarote, Spain: 772-781. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285884An analog-current mode local cluster neural netPUB | DOI
Sitte J, Korner T, Rückert U (1997)
In: Emerging Technologies and Factory Automation Proceedings, 1997. ETFA '97., 1997 6th International Conference on. IEEE: 237-242. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402SOM Hardware-AcceleratorPUB | PDF | Download (ext.)
Rüping S, Porrmann M, Rückert U (1997)
In: Workshop on Self-Organizing Maps (WSOM).(1997). Espoo, Finnland: 136-141. -
1997 | Report | Veröffentlicht | PUB-ID: 2285584Integrationsgerechte parallele Systemkonzepte unter Ausnutzung spärlicher Interaktion am Beispiel neuronaler NetzePUB
Rückert U (1997)
Heinz Nixdorf Institut. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241HIBRIC-MEM, a Memory Controller for PowerPC Based SystemsPUB | DOI
Porrmann M, Landmann J, Marks KM, Rückert U (1997)
In: Proceedings of the 23rd EUROMICRO Conference. Budapest, Ungarn: IEEE Comput. Soc: 653-663. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285568Hardwareimplementierung Neuronaler NetzePUB
Rückert U (1996)
In: Konnektionismus und Neuronale Netze Beiträge zur Herbstschule (HeKoNN96).(300). 53-64. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285570A Scalable Processor Array for Self-Organizing Feature MapsPUB | DOI
Rüping S, Rückert U (1996)
In: Proceedings of the 6th International Conference on Microelectronics for Neural Networks and Fuzzy Systems (MicroNeuro). Lausanne, Switzerland: IEEE Computer Society Press. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575Neuronale AssoziativspeicherPUB
Palm G, Rückert U, Porrmann M, Schwenker F (1996)
In: Neuroinformatik Statusseminar. 419-432. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285554The associative matrix as a concept for intelligent memory chipsPUB
Hartung M, Goser K, Rückert U (1996)
In: Proceedings of the Conference on Neural Networks and their Applications (NEURAP). Marseilles, France: 111-116. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285560Diagnosis-Systems with Selforganizing Feature Maps and Fuzzy-LogicPUB
Rüping S, Rückert U, Goser K, Hartung M (1996)
In: Proceedings of the Conference on Neural Networks and their Applications (NEURAP). Marseilles, France: 251-258. -
1995 | Report | Veröffentlicht | PUB-ID: 2285532Wissensverarbeitung in neuronaler ArchitekturPUB
Rückert U, Goser K (1995)
Universität Dortmund und Universität Paderborn. -
1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285538VLSI friendly neural network with localied transfer functionsPUB
Körner T, Rückert U, Geva S, Malmstrom K, Sitte J (1995)
In: Proceedings of the IEEE International Conference on Neural Networks., 1. Perth, Australia: 169-174. -
1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285536Hardwareimplementierung Neuronaler NetzePUB
Rückert U (1995)
In: Konnektionismus und Neuronale Netze.(272). 147-158. -
-
1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285498A Chip for Selforganizing Feature MapsPUB | DOI
Rüping S, Rückert U, Goser K (1994)
In: Proceedings of the 4th International Conference on Microelectronics for Neural Networks and Fuzzy Systems. IEEE Computer Society Press: 26-33. -
1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285507Hardwareimplementierung Neuronaler NetzePUB
Rückert U (1994)
In: Konnektionismus und Neuronale Netze.(242). 117-128. -
1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285521Parallel Implementation of Neural Associative Memories on RISC ProcessorsPUB | PDF
Rückert U, Rüping S, Naroska E (1994)
In: VLSI for Neural Networks and Artificial Intelligence. Delgado-Frias JG, Moore WR (Eds); New York: Plenum Press: 167-176. -
1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285504A Hybrid Knowledge Processing ArchitecturePUB | DOI
Rückert U (1994)
In: Proceedings of the 2nd IEE International Conference on Intelligent Systems Engineering. Norwich, UK: IEE: 372-377. -
1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285509Knowledge Processing in Neural ArchitecturePUB
Palm G, Goser K, Rückert U, Ultsch A (1994)
In: VLSI for Neural Networks and Artificial Intelligence. Delgado-Frias JG, Moore WR (Eds); New York: Plenum Press: 207-216. -
1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285462Microelectronic Implementation of Neural NetworksPUB
Rückert U (1993)
In: Aachener Beiträge zur Informatik., 3. 77-86. -
1993 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285486Acceleratorboard for Neural Associative MemoriesPUB | Download (ext.)
Rückert U, Funke A, Pintaske C (1993)
Neurocomputing 5: 39-49. -
1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285478Hardware-Implementierung Künstlicher Neuronaler NetzePUB
Rückert U, Spaanenburg L, Anlauf J (1993)
In: atp – Automatisierungstechnische Praxis.(35). 414-420. -
1993 | Patent | Veröffentlicht | PUB-ID: 2285492Verfahren und Einrichtung zur fehlercodierenden DatenübertragungPUB
Marks KM, Rückert U, Staudt von H-M (1993) . -
1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285464Hardware Design for Selforganizing Feature Maps with Binary InputsPUB | PDF | Download (ext.)
Rüping S, Rückert U, Goser K (1993)
In: New Trends in Neural Computation. Mira J, Cabestany J, Prieto A (Eds); , 686. Berlin, Germany: Springer-Verlag: 488-493. -
1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285425VLSI-Bausteine für neuronale Assoziativ-speicher mit Echtzeit-AnwendungenPUB
Rückert U, Goser K (1992)
In: Mikroelektronik für die Informationstechnik.(119). Berlin, Germany: VDE-Verlag: 189-194. -
1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285432Mikroelektronik der künstlichen neuronalen Netze und der Fuzzy-SystemePUB
Rückert U, Heimann D, Kreuzer I, Mostardt M, Pintaske C, Rüping S, Surmann H, Tryba V, Ungering A (1992)
In: 20 Jahre Fakultät für Elektrotechnik.(1). Universität Dortmund, Germany: 71-79. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285316Implementierung Neuronaler Assoziativ-speicher auf Transputer-NetzwerkenPUB
Schulz P, Rückert U (1991)
In: TOOL91/ RISC91. Karlsruhe, Germany: 745-756. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285335Distributed Simulation of Co-operating Neural Networks a Local Area Computer NetworkPUB
Rückert U, Czaicki B, Heimann D (1991)
In: Microelectronics for Neural Networks. Ramacher U (Ed); München, Germany: Kyrill & Method Verlag: 417-422. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285343Wissensverarbeitung in neuronaler ArchitekturPUB | Download (ext.)
Palm G, Rückert U, Ultsch A (1991)
In: Verteilte Künstliche Intelligenz und kooperatives Arbeiten. Brauer W, Hernandez D (Eds); (291). Berlin, Germany: Springer-Verlag: 508-518. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285398Applications and Implementations of Neural Networks in Microelectronics – Overview and StatusPUB | DOI
Goser K, Hilleringmann U, Rückert U (1991)
In: Advanced Computer Technology, Reliable Sytems and Applications. Monaco VA, Negrini R (Eds); Bologna: IEEE Computer Society Press: 531-536. -
1991 | Konferenzband | Veröffentlicht | PUB-ID: 2285456Proceedings 2nd International Conference on Microelectronics for Neural NetworksPUB
Ramacher U, Rückert U, Nossek JA (Eds) (1991)
München, Germany: Kyrill & Method Verlag. -
1991 | Monographie | Veröffentlicht | PUB-ID: 2280937 PUB
-
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285299Digital VLSI Implementation of an Associative Memory Based on Neural NetworksPUB
Rückert U, Kleerbaum C, Goser K (1991)
In: VLSI for Artificial Intelligence and Neural Networks. Delgado-Frias JG, Moore WR (Eds); New York, USA: Plenum Press: 785-794. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285390Tolerance of a Binary Associative Memory Towards STUCK-AT-FAULTSPUB
Rückert U, Surmann H (1991)
In: Artificial Neural Networks. Kohonen T (Ed); , 2. Amsterdam, North-Holland: 1195-1198. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285408Analogwertspeicher mit EAROM-Zellen für Neuronale NetzePUB
Soennecken A, Hilleringmann U, Rückert U, Goser K (1991)
In: Tagungsband des 5. E.I.S.-Workshops. Kaesser A (Ed); (188). Dresden, Germany: 371-373. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285327Neural Networks for Defect Recognition on Masks and Integrated Circuits: First ResultPUB | PDF | Download (ext.)
Surmann H, Kiziloglu B, Rückert U, Goser K (1991)
In: Proceedings of Neuro-Nimes: Neural Networks and their Applications. 581-591. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285192VLSI Design of an Associative Memory based on Distributed Storage of InformationPUB
Rückert U (1991)
In: VLSI Design of Neural Networks. Ramacher U, Rückert U (Eds); Boston, USA: Kluwer Academic Publishers: 153-168. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285370Hardware für Fuzzy-ControllerPUB
Goser K, Ungering A, Surmann H, Rückert U, Schumacher K (1991)
In: Tagungsband des 1. Dortmunder Fuzzy Tag. Dosis GmbH Dortmund, Germany. -
1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285419An Associative Memory with Neural Architecture and its VLSI ImplementationPUB | DOI
Rückert U (1991)
In: Proceedings of the Twenty-Fourth Annual Hawaii International Conference on System Sciences. Milutinovic V, Shriver BD (Eds); , 1. Los Alamitos: IEEE Computer Society Press: 212-218. -
1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285171Hybrid VLSI Implementation of an Associative Memory Based on Distributed Storage of InformationPUB
Rückert U, Goser K, Ramacher U (1990)
In: Proceedings of the 1st International Workshop on Microelectronics for Nerual Networks. Goser K, Ramacher U, Rückert U (Eds); University Dortmund, Germany: 196-203. -
1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285188Mikroelektronik neuronaler NetzePUB
Goser K, Rückert U (1990)
In: ITG-Fachbericht.(114). Berlin, Germany: VDE-Verlag: 189-190. -
1990 | Konferenzband | Veröffentlicht | PUB-ID: 2285450Proceedings of the 1st International Workshop on Microelectronics for Neural NetworksPUB
Goser K, Ramacher U, Rückert U (Eds) (1990)
Dortmund, Germany. -
1990 | Monographie | Veröffentlicht | PUB-ID: 2280936Integrationsgerechte Umsetzung von assoziativen Netzwerken mit verteilter SpeicherungPUB | Download (ext.)
Rückert U (1990) Fortschritt-Berichte VDI Reihe 10: Informatik/Kommunikationstechnik; 130.
Düsseldorf, Germany: VDI Verlag. -
1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285182VLSI Implementation of an Associative Memory Based on Distributed Storage of InformationPUB | DOI
Rückert U (1990)
In: Neural Networks. Almeida LB, Wellekens CJ (Eds); , 412. Berlin, Germany: Springer-Verlag: 267-276. -
1990 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280954Chip-Architecturen für künstliche neuronale NetzePUB
Goser K, Kreuzer I, Rückert U, Tryby V (1990)
Mikroelektronik 5: 208-211. -
1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281030Ein digital/analoges Assoziativspeicherkonzept basierend auf neuronalen StrukturenPUB
Rückert U, Goser K (1989)
In: GMD-Studie zum 4. E.I.S.-Workshops.(155). 201-210. -
1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281064Selbstorganisierende Parameterkarten zur Prozeßüberwachung und -voraussagePUB
Goser K, Marks KM, Rückert U (1989)
In: 3. Internationaler GI Kongress: Wissensbasierte Systeme, Informatik-Fachberichte.(227). München, Germany: Springer-Verlag: 225-237. -
1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281072Fault-Tolerance Of Associative Memories Based On Neural NetworksPUB | DOI
Rückert U, Kreuzer I, Tryba V (1989)
In: Proceedings of the International Conference on Computer Technology, Systems and Applications. Hamburg, Germany: IEEE Comput. Soc. Press: 1.52-1.55. -
1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281084VLSI-Design of Associative NetworkPUB
Rückert U, Goser K (1989)
In: VLSI for Artificial Intelligence. Delgado-Frias JG, Moore WR (Eds); Boston: Kluwer Academic Publishers: 227-235. -
1989 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280945VLSI Technologies for Artificial Neural NetworksPUB | DOI | WoS
Goser K, Hilleringmann U, Rückert U, Schumacher K (1989)
IEEE Micro 9(6): 28-44. -
1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281009Selbstorganisierende Karten als lernende klassifizierende SpeicherPUB
Tryba V, Marks KM, Rückert U, Goser K (1988)
In: ITG-Fachbericht.(102). Berlin, Germany: VDE-Verlag: 407-419. -
1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281022VLSI-Architectures for Associative NetworksPUB | DOI
Rückert U, Goser K (1988)
In: Proceedings of the IEEE International Symposium on Circuits and Systems., 1. Helsinki, Finland: IEEE: 755-758. -
1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281048Adaptive Associative Systems For VLSIPUB | DOI
Rückert U, Goser K (1987)
In: WOPPLOT 86 Parallel Processing: Logic, Organization, and Technology Proceedings of a Workshop Neubiberg, Federal Republic of Germany, July 2–4, 1986. Becker JD, Eisele I (Eds); Lecture Notes in Computer Science, 253. Berlin, Heidelberg: Springer: 166-184. -
1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280973A VLSI Concept For An Asso-ciative Matrix Based On Neural NetworksPUB
Rückert U, Kreuzer I, Goser K (1987)
In: VLSI and Computer. Proebster WE, Reiner H (Eds); Washington, USA: Computer Society Press: 31-34. -
1986 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280942Künstliche Intelligenz - eine Herausforderung an die GroßintegrationstechnikPUB
Goser K, Rückert U (1986)
Nachrichtentechnische Zeitschrift 11: 748-752. -
1985 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280900Intelligent VLSI-Memories For RoboticsPUB
Goser K, Rückert U (1985)
In: COGNITIVA 85 : De l' intelligence artificielle aux biosciences; Actes du Colloque Scientifique. Paris, France: CESTA: 425-430. -
1984 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280870Intelligent Memories in VLSIPUB | DOI | WoS
Goser K, Fölster C, Rückert U (1984)
Information Sciences 34(1): 61-82.