419 Publikationen

Alle markieren

  • [419]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2969731 OA
    Schöpping, T., Kenneweg, S., Hesse, M., & Rückert, U. (2023). µRT: A lightweight real-time middleware with integrated validation of timing constraints. Frontiers in Robotics and AI, 10, 23. https://doi.org/10.3389/frobt.2023.1081875
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [418]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications. Frontiers in Computational Neuroscience, 17. https://doi.org/10.3389/fncom.2023.1215824
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [417]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (Accepted). A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs. Presented at the Novel Trends in Data Science 2023, Congressi Stefano Franscini at Monte Verità in Ticino, Switzerland. https://doi.org/10.5281/zenodo.10262228
    PUB | DOI | Download (ext.) | Preprint
     
  • [416]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2984934
    Penner, K., Wittenfeld, F., Steinhagen, B., Hesse, M., & Rückert, U. (2023). TinyML optimization for activity classification on the resource-constrained body sensor BI-Vital. 2023 IEEE 19th International Conference on Body Sensor Networks (BSN), 1-4. IEEE. https://doi.org/10.1109/BSN58485.2023.10330937
    PUB | DOI | Download (ext.)
     
  • [415]
    2023 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2969032 OA
    Lian Sang, C., Adams, M., Hesse, M., & Rückert, U. (2023). Bidirectional UWB Localization: A Review on an Elastic Positioning Scheme for GNSS-deprived Zones. IEEE Journal of Indoor and Seamless Positioning and Navigation, 26. https://doi.org/10.1109/JISPIN.2023.3337055
    PUB | PDF | DOI | Download (ext.) | arXiv
     
  • [414]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982608
    Steinhagen, B., Jungh, T., Hesse, M., Rückert, U., Quakernack, L., Kelker, M., & Haubrock, J. (2023). Evaluation of the Usage of Edge Computing and LoRa for the Control of Electric Vehicle Charging in the Low Voltage Grid. 2023 IEEE PES Conference on Innovative Smart Grid Technologies - Middle East (ISGT Middle East). proceedings, 1-5. Piscataway, NJ: IEEE. https://doi.org/10.1109/ISGTMiddleEast56437.2023.10078593
    PUB | DOI
     
  • [413]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2983888
    Adams, M., David, A., Hesse, M., Rückert, U., Lienhart, R., Moeslund, T. B., & Saito, H. (2023). Expected Goals Prediction in Professional Handball using Synchronized Event and Positional Data. Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports, 83-91. New York, NY, USA: ACM. https://doi.org/10.1145/3606038.3616152
    PUB | DOI
     
  • [412]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs. Presented at the International Conference on Computer Vision (ICCV) 2023, Paris France. https://doi.org/10.13140/RG.2.2.14469.32485
    PUB | DOI
     
  • [411]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2983268
    Kortekamp, M., Pilz, S., Hagemeyer, J., & Rückert, U. (2023). A Scalable Binary Neural Associative Memory on FPGA. In I. Rojas, G. Joya, & A. Catala (Eds.), Lecture Notes in Computer Science. Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I (pp. 381-393). Cham: Springer Nature Switzerland. https://doi.org/10.1007/978-3-031-43085-5_30
    PUB | DOI
     
  • [410]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim. International Journal of Neural Systems, 33(09), 2350044. https://doi.org/10.1142/S0129065723500442
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [409]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Evaluating Spiking Neural Network Models: A Comparative Performance Analysis. Presented at the . https://doi.org/10.13140/RG.2.2.21295.71847
    PUB | DOI
     
  • [408]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures. Presented at the . https://doi.org/10.13140/RG.2.2.26328.88324
    PUB | DOI
     
  • [407]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2023). Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection. In L. Iliadis, I. Maglogiannis, S. Alonso, C. Jayne, & E. Pimenidis (Eds.), Communications in Computer and Information Science. Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings (pp. 191-202). Cham: Springer Nature Switzerland. https://doi.org/10.1007/978-3-031-34204-2_17
    PUB | DOI
     
  • [406]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979269
    Pilz, S., Hellweg, T., Harteis, C., Rückert, U., & Schneider, M. (2023). Who Will Own Our Global Digital Twin: The Power of Genetic and Biographic Information to Shape Our Lives. In I. Gräßler, G. W. Maier, E. Steffen, & D. Roesmann (Eds.), The Digital Twin of Humans. An Interdisciplinary Concept of Digital Working Environments in Industry 4.0 (pp. 11-35). Cham: Springer International Publishing. https://doi.org/10.1007/978-3-031-26104-6_2
    PUB | DOI
     
  • [405]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    Ullah, S., Koravuna, S., Jungeblut, T., & Rückert, U. (2022). Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation. Presented at the . https://doi.org/10.13140/RG.2.2.14584.83201/1
    PUB | DOI
     
  • [404]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    Ullah, S., Koravuna, S., Rückert, U., & Jungeblut, T. (2022). SNNs Model Analyzing and Visualizing Experimentation Using RAVSim. In L. Iliadis, C. Jayne, A. Tefas, & E. Pimenidis (Eds.), Communications in Computer and Information Science. Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings (pp. 40-51). Cham: Springer International Publishing. https://doi.org/10.1007/978-3-031-08223-8_4
    PUB | DOI | Download (ext.)
     
  • [403]
    2022 | Preprint | PUB-ID: 2982804
    Ullah, S., Koravuna, S., Jungeblut, T., & Rückert, U. (2022). NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs. https://doi.org/10.13140/RG.2.2.16202.85444
    PUB | DOI
     
  • [402]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2966552
    Klarhorst, C., Quirin, D., Hesse, M., & Rückert, U. (2022). ML4ProFlow: A Framework for Low-Code Data Processing from Edge to Cloud in Industrial Production. In IEEE (Ed.), 2022 IEEE 27th International Conference on Emerging Technologies and Factory Automation (ETFA) Piscataway, NJ: IEEE. https://doi.org/10.1109/ETFA52439.2022.9921488
    PUB | DOI
     
  • [401]
    2022 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2963591 OA
    Ostrau, C., Klarhorst, C., Thies, M., & Rückert, U. (2022). Benchmarking Neuromorphic Hardware and Its Energy Expenditure. Frontiers in Neuroscience, 16, 873935. https://doi.org/10.3389/fnins.2022.873935
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [400]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2964196
    Kaiser, M., Griessl, R., Kucza, N., Haumann, C., Tigges, L., Mika, K., Hagemeyer, J., et al. (2022). VEDLIoT: Very Efficient Deep Learning in IoT. In Institut of Electrical and Electronics Engineers (IEEE) (Ed.), DATE '22: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe (pp. 963-968). Leuven: European Design and Automation Association. https://doi.org/10.23919/DATE54114.2022.9774653
    PUB | DOI
     
  • [399]
    2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2957481 OA
    Porrmann, F., Pilz, S., Stella, A., Kleinjohann, A., Denker, M., Hagemeyer, J., & Rückert, U. (2021). Acceleration of the SPADE Method Using a Custom-Tailored FP-Growth Implementation. Frontiers in Neuroinformatics, 15(15), 723406. https://doi.org/10.3389/fninf.2021.723406
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [398]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756 OA
    Meyer, H. G., Klimeck, D., Paskarbeit, J., Rückert, U., Egelhaaf, M., Porrmann, M., & Schneider, A. (2020). Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR. PloS one, 15(4). https://doi.org/10.1371/journal.pone.0230620
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [397]
    2020 | Datenpublikation | PUB-ID: 2943719 OA
    Lian Sang, C., Steinhagen, B., Homburg, J. D., Adams, M., Hesse, M., & Rückert, U. (2020). Supplementary Research Data for the Paper entitled Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods. Bielefeld University. https://doi.org/10.4119/unibi/2943719
    PUB | Dateien verfügbar | DOI
     
  • [396]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2943046 OA
    Lian Sang, C., Steinhagen, B., Homburg, J. D., Adams, M., Hesse, M., & Rückert, U. (2020). Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods. Applied Sciences, 10(11), 3980. https://doi.org/10.3390/app10113980
    PUB | PDF | DOI | Download (ext.) | WoS | Preprint
     
  • [395]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942322
    Ostrau, C., Homburg, J. D., Klarhorst, C., Thies, M., & Rückert, U. (2020). Benchmarking Deep Spiking Neural Networks on Neuromorphic Hardware. Artificial Neural Networks and Machine Learning – ICANN 2020 Springer International Publishing. https://doi.org/10.1007/978-3-030-61616-8_49
    PUB | Dateien verfügbar | DOI | arXiv
     
  • [394]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2941831
    Ostrau, C., Klarhorst, C., Thies, M., & Rückert, U. (2020). Benchmarking of Neuromorphic Hardware Systems. Neuro-inspired Computational Elements Workshop (NICE ’20), March 17–20, 2020, Heidelberg, Germany, International Conference Proceeding Series (ICPS) Association for Computing Machinery (ACM). https://doi.org/10.1145/3381755.3381772
    PUB | Dateien verfügbar | DOI
     
  • [393]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2941646 OA
    Pilz, S., Porrmann, F., Kaiser, M., Hagemeyer, J., Hogan, J. M., & Rückert, U. (2020). Accelerating Binary String Comparisons with a Scalable, Streaming-Based System Architecture Based on FPGAs. Algorithms, 13(2), 47. doi:10.3390/a13020047
    PUB | PDF | DOI | Download (ext.) | WoS
     
  • [392]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    Ax, J., Kucza, N., Porrmann, M., Rückert, U., & Jungeblut, T. (2019). Asynchronous network-on-chips (NoCs) for resource efficient many core architectures. In J. Di & S. C. Smith (Eds.), Asynchronous Circuit Applications (pp. 173-197). Institution of Engineering and Technology (IET). https://doi.org/10.1049/PBCS061E_ch8
    PUB | DOI | Download (ext.)
     
  • [391]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937505 OA
    Lian Sang, C., Adams, M., Hesse, M., Hörmann, T., Korthals, T., & Rückert, U. (2019). A Comparative Study of UWB-based True-Range Positioning Algorithms using Experimental Data . Presented at the IEEE 16th Workshop on Positioning, Navigation and Communications (WPNC'19), Bremen, Germany. https://doi.org/10.1109/WPNC47567.2019.8970249
    PUB | PDF | DOI | Download (ext.)
     
  • [390]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937493 OA
    Lian Sang, C., Adams, M., Korthals, T., Hörmann, T., Hesse, M., & Rückert, U. (2019). A Bidirectional Object Tracking and Navigation System using a True-Range Multilateration Method. Presented at the Tenth International Conference on Indoor Positioning and Indoor Navigation (IPIN), Pisa, Italy. https://doi.org/10.1109/IPIN.2019.8911811
    PUB | PDF | DOI | Download (ext.)
     
  • [389]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2937362
    Homburg, J. D., Adams, M., Thies, M., Korthals, T., Hesse, M., & Rückert, U. (2019). Constraint Exploration of Convolutional Network Architectures with Neuroevolution. In I. Rojas, G. Joya, & A. Catala (Eds.), Lecture Notes in Computer Science: Vol. 11507. Advances in Computational Intelligence. IWANN 2019 (pp. 735-746). Cham: Springer. https://doi.org/10.1007/978-3-030-20518-8_61
    PUB | DOI
     
  • [388]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    Adams, M., Benda, M., Saboor, A., Krause, A. F., Rezeika, A., Gembler, F., Stawicki, P., et al. (2019). Towards an SSVEP-BCI Controlled Smart Home. 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC) Piscataway, NJ: IEEE. https://doi.org/10.1109/smc.2019.8914668
    PUB | DOI
     
  • [387]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2941207
    Ostrau, C., Klarhorst, C., Thies, M., & Rückert, U. (Accepted). Comparing Neuromorphic Systems by Solving Sudoku Problems. Conference Proceedings: 2019 International Conference on High Performance Computing & Simulation (HPCS) Piscataway, NJ: IEEE. https://doi.org/10.1109/HPCS48598.2019.9188207
    PUB | Dateien verfügbar | DOI
     
  • [386]
    2019 | Kurzbeitrag Konferenz / Poster | Angenommen | PUB-ID: 2935328 OA
    Ostrau, C., Klarhorst, C., Thies, M., & Rückert, U. (Accepted). Benchmarking and Characterization of event-based Neuromorphic Hardware. Presented at the FastPath 2019 - International Workshop on Performance Analysis of Machine Learning Systems, Madison, Wisconsin, USA.
    PUB | PDF
     
  • [385]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2019). Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Sensors, 19(3), 616. doi:10.3390/s19030616
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [384]
    2019 | Datenpublikation | PUB-ID: 2939390 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2019). Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Bielefeld University. doi:10.4119/unibi/2939390
    PUB | Dateien verfügbar | DOI
     
  • [383]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2934957
    Korthals, T., Rudolph, D., Leitner, J., Hesse, M., & Rückert, U. (Accepted). Multi-Modal Generative Models for Learning Epistemic Active Sensing. 2019 IEEE International Conference on Robotics and Automation Piscataway, NJ: IEEE.
    PUB
     
  • [382]
    2019 | Konferenzbeitrag | PUB-ID: 2937511 OA
    Korthals, T., Wolf, D., Rudolph, D., Hesse, M., & Rückert, U. (2019). Fiducial Marker based Extrinsic Camera Calibration for a Robot Benchmarking Platform. European Conference on Mobile Robots, ECMR 2019, Prague, CZ, September 4-6, 2019, 1-6.
    PUB | PDF
     
  • [381]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2937732
    Büchel, D., Jakobsmeyer, R., Döring, M., Adams, M., Rückert, U., & Baumeister, J. (2019). Effect of playing position and time on-court on activity profiles in german elite team handball. International Journal of Performance Analysis in Sport , 19(5), 832-844. doi:10.1080/24748668.2019.1663071
    PUB | DOI | WoS
     
  • [380]
    2019 | Konferenzbeitrag | PUB-ID: 2937521 OA
    Korthals, T., Hesse, M., Leitner, J., Melnik, A., & Rückert, U. (2019). Jointly Trained Variational Autoencoder for Multi-Modal Sensor Fusion. 22st International Conference on Information Fusion, (FUSION) 2019, Ottawa, CA, July 2-5, 2019, 1-8.
    PUB | PDF
     
  • [379]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    Klimeck, D., Meyer, H. G., Hagemeyer, J., Porrmann, M., & Rückert, U. (2018). Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications. 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP) Piscataway, NJ: IEEE. https://doi.org/10.1109/asap.2018.8445091
    PUB | DOI
     
  • [378]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2018). An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods. 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN) Piscataway, NJ: IEEE. https://doi.org/10.1109/IPIN.2018.8533697
    PUB | PDF | DOI | Download (ext.)
     
  • [377]
    2018 | Datenpublikation | PUB-ID: 2919795 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2018). Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''. Bielefeld University. doi:10.4119/unibi/2919795
    PUB | Dateien verfügbar | DOI
     
  • [376]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930691
    Schöpping, T., Korthals, T., Hesse, M., & Rückert, U. (2018). Generic Architecture for Modular Real-time Systems in Robotics. In K. Madani & O. Gusikhin (Eds.), Proceedings of the 15th International Conference on Informatics in Control, Automation and Robotics. (Vol. 2, pp. 403-410). Setúbal, Portugal : SciTePress. https://doi.org/10.5220/0006899304030410
    PUB | DOI
     
  • [375]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2918988
    Schöpping, T., Korthals, T., Hesse, M., & Rückert, U. (2018). AMiRo: A Mini Robot as Versatile Teaching Platform. Proceedings of the 9th International Conference on Robotics in Education, RiE 2018, Advances in Intelligent Systems and Computing, 829, 177-188. Springer.
    PUB
     
  • [374]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J., Sievers, G., Daberkow, J., Flasskamp, M., Vohrmann, M., Jungeblut, T., Kelly, W., et al. (2018). CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories. IEEE Transactions on Parallel and Distributed Systems, 29(5), 1030-1043. doi:10.1109/TPDS.2017.2785799
    PUB | PDF | DOI | WoS
     
  • [373]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2919030 OA
    Schürmann, M., Shepheard, N., Frese, N., Geishendorf, K., Sudhoff, H., Gölzhäuser, A., Rückert, U., et al. (2018). Technical feasibility study for production of tailored multielectrode arrays and patterning of arranged neuronal networks. PLOS ONE, 13(2), e0192647. https://doi.org/10.1371/journal.pone.0192647
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [372]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2918982 OA
    Korthals, T., Kragh, M., Christiansen, P., Karstoft, H., Jørgensen, R. N., & Rückert, U. (2018). Multi-Modal Detection and Mapping of Static and Dynamic Obstacles in Agriculture for Process Evaluation. Frontiers in Robotics and AI, 5, 26. doi:10.3389/frobt.2018.00028
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [371]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2018). FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports. Journal of Signal Processing Systems, 91(7), 703-729. doi:10.1007/s11265-018-1381-8
    PUB | DOI | WoS
     
  • [370]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2934971
    Korthals, T., Leitner, J., & Rückert, U. (2018). Coordinated Heterogeneous Distributed Perception based on Latent Space Representation. CoRR
    PUB | arXiv
     
  • [369]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2933447
    Adams, M., Hesse, M., Hörmann, T., & Rückert, U. (2018). Visuelles Sensorsystem für die Trainings- und Spielunterstützung im Leistungshandball. In I. Fichtner (Ed.), Schriftenreihe für angewandte Trainingswissenschaft : Vol. 13. Technologien im Leistungssport 3. Tagungsband zur 19. Frühjahrsschule am 14./15. Mai 2018 in Leipzig (pp. 106-115). Meyer & Meyer Verlag.
    PUB
     
  • [368]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930695
    Schöpping, T., & Rückert, U. (2018). What Makes a Cognitive Robot? A Systems Engineering Perspective. 7. Interdisziplinärer Workshop Kognitive Systeme: Mensch, Teams, Systeme und Automaten, Kognitive Systeme: Mensch, Teams, Systeme und Automaten, 102-110.
    PUB
     
  • [367]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C., Flasskamp, M., Ax, J., Jungeblut, T., Kelly, W., Porrmann, M., & Rückert, U. (2018). Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom.
    PUB
     
  • [366]
    2017 | Sammelwerksbeitrag | E-Veröff. vor dem Druck | PUB-ID: 2915288
    Hörmann, T., & Rückert, U. (2017). Vernetzte Arbeitsumgebungen: Körpernahe und tragbare Sensorik in der Arbeitswelt. In G. W. Maier, G. Engels, & E. Steffen (Eds.), Handbuch Gestaltung digitaler und vernetzter Arbeitswelten (pp. 1-20). Berlin, Heidelberg: Springer. doi:10.1007/978-3-662-52903-4_9-1
    PUB | DOI | Download (ext.)
     
  • [365]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2913968 OA
    Stöckel, A., Jenzen, C., Thies, M., & Rückert, U. (2017). Binary Associative Memories as a Benchmark for Spiking Neuromorphic Hardware. Frontiers in Computational Neuroscience, 11, 71. doi:10.3389/fncom.2017.00071
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [364]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2934958
    Korthals, T., Kragh, M., Christiansen, P., & Rückert, U. (2017). Towards Inverse Sensor Mapping in Agriculture. In T. Kounalakis, F. van Evert, D. M. Ball, G. Kootstra, & L. Nalpantidis (Eds.), Proceedings of the IEEE IROS workshop on Agricultural Robotics. Learning from Industry 4.0 and moving into the future (pp. 6-11).
    PUB | arXiv
     
  • [363]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2017). Reconfigurable Vision Processing System for Player Tracking in Indoor Sports. Conference on Design and Architectures for Signal and Image Processing (DASIP 2017), 1-6. Piscataway, NJ: IEEE. doi:10.1109/DASIP.2017.8122114
    PUB | DOI
     
  • [362]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    Irwansyah, A., Ibraheem, O. W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2017). FPGA-based Multi-Robot Tracking. Journal of Parallel and Distributed Computing, 107, 146-161. doi:10.1016/j.jpdc.2017.03.008
    PUB | DOI | Download (ext.) | WoS
     
  • [361]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908960
    Hörmann, T., Hesse, M., Christ, P., Adams, M., Menßen, C., & Rückert, U. (2017). Detailed Estimation of Cognitive Workload with Reference to a Modern Working Environment. In A. Fred & H. Gamboa (Eds.), Communications in Computer and Information Science. Biomedical Engineering Systems and Technologies (pp. 205-223). Cham: Springer Nature. doi:10.1007/978-3-319-54717-6_12
    PUB | DOI
     
  • [360]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J., Kucza, N., Vohrmann, M., Jungeblut, T., Porrmann, M., & Rückert, U. (Accepted). Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17)
    PUB
     
  • [359]
    2017 | Report | PUB-ID: 2913643 OA
    Romoth, J., Porrmann, M., & Rückert, U. (2017). Survey of FPGA applications in the period 2000 – 2015 (Technical Report). doi:10.13140/RG.2.2.16364.56960
    PUB | PDF | DOI
     
  • [358]
    2017 | Konferenzbeitrag | PUB-ID: 2908757 OA
    Lian Sang, C., Hesse, M., Zehe, S., Adams, M., Hörmann, T., & Rückert, U. (2017). An Adaptive Acknowledgement On-demand Protocol for Wireless Sensor Networks. Proceedings of the 6th International Confererence on Sensor Networks, 1, 174-181. doi:10.5220/0006208501740181
    PUB | PDF | DOI | Download (ext.)
     
  • [357]
    2016 | Datenpublikation | PUB-ID: 2902276 OA
    Schöpping, T., Korthals, T., Herbrechtsmeier, S., Chinapirom, T., Abel, R., Barther, M., Kenneweg, T., et al. (2016). AMiRo-OS. Bielefeld University. https://doi.org/10.4119/unibi/2902276
    PUB | Dateien verfügbar | DOI
     
  • [356]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903244
    Hesse, M., Christ, P., Hörmann, T., Adams, M., & Rückert, U. (2016). Die Entwicklung zukünftiger körpernaher Sensorsysteme für die autarke und mobile Trainingsunterstützung. In I. Fichtner (Ed.), Schriftenreihe Angewandte Trainingswissenschaft: Vol. 4. Technologien im Leistungssport (pp. 152-161). Aachen: Meyer & Meyer.
    PUB | Dateien verfügbar
     
  • [355]
    2016 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908968
    Rückert, U. (2016). Brain-Inspired Architectures for Nanoelectronics. In B. Hoefflinger (Ed.), CHIPS 2020 VOL. 2: New Vistas in Nanoelectronics (1st ed., pp. 249--274). Cham, Switzerland: Springer International Publishing. doi:10.1007/978-3-319-22093-2_18
    PUB | DOI
     
  • [354]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905038 OA
    Hörmann, T., Hesse, M., Adams, M., & Rückert, U. (2016). A Software Assistant for User-Centric Calibration of a Wireless Body Sensor. 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN), 183-188. IEEE. doi:10.1109/BSN.2016.7516256
    PUB | PDF | DOI | Download (ext.)
     
  • [353]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903248 OA
    Hörmann, T., Hesse, M., Christ, P., Adams, M., Menßen, C., & Rückert, U. (2016). Fine-Grained Prediction of Cognitive Workload in a Modern Working Environment by Utilizing Short-Term Physiological Parameters. Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies, 4, 42-51. SCITEPRESS. doi:10.5220/0005665000420051
    PUB | PDF | DOI | Download (ext.)
     
  • [352]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906483
    Herbrechtsmeier, S., Korthals, T., Schöpping, T., & Rückert, U. (2016). AMiRo: A Modular & Customizable Open-Source Mini Robot Platform. Presented at the 20th International Conference on System Theory, Control and Computing, Sinaia. doi:10.1109/ICSTCC.2016.7790746
    PUB | DOI
     
  • [351]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905037 OA
    Hesse, M., Adams, M., Hörmann, T., & Rückert, U. (2016). Towards a Comprehensive Power Consumption Model for Wireless Sensor Nodes. 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN), 390-395. IEEE. doi:10.1109/BSN.2016.7516293
    PUB | PDF | DOI | Download (ext.)
     
  • [350]
    2016 | Konferenzbeitrag | PUB-ID: 2906482
    Korthals, T., Barther, M., Schöpping, T., Herbrechtsmeier, S., & Rückert, U. (2016). Occupancy Grid Mapping with Highly Uncertain Range Sensors based on Inverse Particle Filters. Proceedings of the 13th International Conference on Informatics in Control, Automation and Robotics, 192-200. doi:10.5220/0005960001920200
    PUB | DOI
     
  • [349]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., et al. (2015). Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. 2015 IEEE International Symposium on Circuits & Systems (ISCAS), 1925-1928. IEEE. doi:10.1109/ISCAS.2015.7169049
    PUB | DOI | Download (ext.)
     
  • [348]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., et al. (2015). Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 175-181. IEEE. doi:10.1109/MCSoC.2015.25
    PUB | DOI
     
  • [347]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757486
    Schöpping, T., Korthals, T., Herbrechtsmeier, S., & Rückert, U. (2015). AMiRo: A Mini Robot for Scientific Applications. In I. Rojas, G. Joya, & A. Catala (Eds.), Lecture Notes in Computer Science: Vol. 9094. Advances in Computational Intelligence (pp. 199-205). Cham: Springer. https://doi.org/10.1007/978-3-319-19258-1_17
    PUB | DOI
     
  • [346]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2015). A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. In M. Hübner, M. Gokhale, & R. Cumplido (Eds.), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig) (pp. 1-6). Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2015.7393282
    PUB | DOI
     
  • [345]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    Irwansyah, A., Ibraheem, O. W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2015). FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. In M. Hübner, M. Gokhale, & R. Cumplido (Eds.), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig) (pp. 1-8). Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2015.7393313
    PUB | DOI
     
  • [344]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    Keuck, L., Hemmelgarn, F., Frohleke, N., Bocker, J., Vohrmann, M., Jungeblut, T., & Rückert, U. (2015). Neuro control of a PFC rectifier for harmonic reduction at partial loads. 2014 International Conference on Advances in Green Energy (ICAGE) Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/icage.2014.7050149
    PUB | DOI
     
  • [343]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908970
    Rückert, U. (2015). VLSI-Entwurfsmethoden. In H. Klar & T. Noll (Eds.), Integrierte Digitale Schaltungen: Vom Transistor zur optimierten Logikschaltung (3rd ed., pp. 669--689). Berlin, Heidelberg: Springer Berlin Heidelberg. doi:10.1007/978-3-540-69017-7_8
    PUB | DOI
     
  • [342]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783152 OA
    Hörmann, T., Christ, P., Hesse, M., & Rückert, U. (2015). Robust Estimation of Physical Activity by Adaptively Fusing Multiple Parameters. Wearable and Implantable Body Sensor Networks (BSN), 2015 IEEE 12th International Conference on, 1-6. IEEE. doi:10.1109/BSN.2015.7299390
    PUB | PDF | DOI | Download (ext.)
     
  • [341]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2902858
    Korthals, T., Krause, T., & Rückert, U. (2015). Evidence Grid Based Information Fusion for Semantic Classifiers in Dynamic Sensor Networks. In O. Niggemann & J. Beyerer (Eds.), Machine Learning for Cyber Physical Systems (pp. 9-14). Berlin, Heidelberg: Springer Science + Business Media. doi:10.1007/978-3-662-48838-6_2
    PUB | DOI
     
  • [340]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, J., Buda, A., Schneider, D., Hartfiel, J., Dürkop, L., Jungeblut, T., Jasperneite, J., et al. (2015). Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme. Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus.
    PUB
     
  • [339]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M., Chatterjee, S., Lütkemeier, S., Jungeblut, T., Porrmann, M., & Rückert, U. (2015). A 65 nm Standard Cell Library for Ultra Low-power Applications. Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway. doi:10.1109/ECCTD.2015.7300041
    PUB | DOI
     
  • [338]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992
    Irwansyah, A., Ibraheem, O. W., Klimeck, D., Porrmann, M., & Rückert, U. (2014). FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera Interfaces. Presented at the Bildverarbeitung in der Automation (BVAu) 2014, Lemgo, Germany.
    PUB
     
  • [337]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2730661 OA
    Hesse, M., Christ, P., Hörmann, T., & Rückert, U. (2014). A Respiration Sensor for a Chest-Strap Based Wireless Body Sensor. SENSORS, 2014 IEEE, 490-493. IEEE. doi:10.1109/ICSENS.2014.6985042
    PUB | PDF | DOI
     
  • [336]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B., Sievers, G., Jungeblut, T., Porrmann, M., & Rückert, U. (2014). CoreVA: A Configurable Resource-efficient VLIW Processor Architecture. Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 9-16. doi:10.1109/EUC.2014.11
    PUB | DOI
     
  • [335]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2704390
    Christ, P., & Rückert, U. (2014). Identification of Athletes During Walking and Jogging Based on Gait and Electrocardiographic Patterns. In M. Fernandez-Chimeno, P. L. Fernandes, S. Alvarez, D. Stacey, J. Solé-Casals, A. Fred, & H. Gamboa (Eds.), Communications in Computer and Information Science: Vol. 452,. Biomedical Engineering Systems and Technologies (pp. 240-257). Berlin, Heidelberg: Springer Berlin Heidelberg. doi:10.1007/978-3-662-44485-6_17
    PUB | DOI | Download (ext.)
     
  • [334]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S., Jungeblut, T., Berge, H. K. O., Aunet, S., Porrmann, M., & Rückert, U. (2013). A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control. IEEE Journal Of Solid-State Circuits, 48(1), 8-19. doi:10.1109/JSSC.2012.2220671
    PUB | DOI | WoS
     
  • [333]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S., Sievers, G., Ax, J., Cozzi, D., Jungeblut, T., Hagemeyer, J., Porrmann, M., et al. (2013). Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In J. Gausemeier, R. Dumitrescu, F. Rammig, & A. Trächtler (Eds.), HNI-Verlagsschriftenreihe: Vol. 310. Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme (pp. 79-90). Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn.
    PUB | PDF
     
  • [332]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G., Christ, P., Einhaus, J., Jungeblut, T., Porrmann, M., & Rückert, U. (2013). Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications. 2013 NORCHIP. doi:10.1109/NORCHIP.2013.6702002
    PUB | DOI
     
  • [331]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634404
    Tanoto, A., Gomez, J. V., Mavridis, N., Li, H., Rückert, U., & Garrido, S. (2013). Teletesting: Remote Path Planning Experimentation and Benchmarking in the TeleWorkbench. Presented at the IEEE European Conference on Mobile Robots (ECMR'13), Barcelona, Spain
    PUB | Download (ext.)
     
  • [330]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P., Sievers, G., Einhaus, J., Jungeblut, T., Porrmann, M., & Rückert, U. (2013). Pareto-optimal Signal Processing on Low-Power Microprocessors. Proceedings of the 12th IEEE International Conference on SENSORS, 1843-1846. IEEE. doi:10.1109/ICSENS.2013.6688593
    PUB | DOI | Download (ext.)
     
  • [329]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576303 OA
    Christ, P., Werner, F., Rückert, U., & Mielebacher, J. (2013). Athlete Identification using Acceleration and Electrocardiographic Measurements Recorded with a Wireless Body Sensor. In S. Alvarez, J. Solé-Casals, A. Fred, & H. Gamboa (Eds.), Proc. of the 6th Int. Conf. on Bio-Inspired Systems and Signal Processing, Int. Joint Conf. on Biomedical Engineering Systems and Technologies (pp. 11-19). SciTePress.
    PUB | PDF | Download (ext.)
     
  • [328]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T., Hübener, B., Porrmann, M., & Rückert, U. (2013). A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors. ACM Trans. Embed. Comput. Syst., 13(2), 1-25. doi:10.1145/2514641.2514645
    PUB | DOI | Download (ext.) | WoS
     
  • [327]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531
    Lachmair, J., Merényi, E., Porrmann, M., & Rückert, U. (2013). A reconfigurable neuroprocessor for self-organizing feature maps. Neurocomputing, 112(SI), 189-199. doi:10.1016/j.neucom.2012.11.045
    PUB | DOI | Download (ext.) | WoS
     
  • [326]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493986
    Herbrechtsmeier, S., Rückert, U., & Sitte, J. (2012). AMiRo – Autonomous Mini Robot for Research and Education. In U. Rückert, J. Sitte, & F. Werner (Eds.), Advances in Autonomous Mini Robots (pp. 101-112). Heidelberg: Springer. https://doi.org/10.1007/978-3-642-27482-4_12
    PUB | DOI
     
  • [325]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    Romoth, J., Jungewelter, D., Hagemeyer, J., Porrmann, M., & Rückert, U. (2012). Optimizing inter-FPGA communication by automatic channel adaptation. 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico , 1-7. Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2012.6416767
    PUB | DOI
     
  • [324]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2546464
    Tanoto, A., Li, H., Rückert, U., & Sitte, J. (2012). Scalable and Flexible Vision-Based Multi-Robot Tracking System. Proceedings of the IEEE International Symposium on Intelligent Control (ISIC), 19-24. IEEE. doi:10.1109/isic.2012.6398261
    PUB | DOI
     
  • [323]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547051
    Tanoto, A., & Rückert, U. (2012). Local Navigation Strategies for Multi-Robot Exploration: From Simulation to Experimentation with Mini-Robots. Procedia Engineering, 41, 1197-1203. Elsevier. doi:10.1016/j.proeng.2012.07.301
    PUB | DOI
     
  • [322]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549895
    Al-Bermani, A., Wördehoff, C., Jan, O. H. A., Puntsri, K., Rückert, U., & Noé, R. (2012). Real-time Comparison of Blind Phase Search with Different Angle Resolutions for 16-QAM. Presented at the IEEE Photonics 2012 Conference (IPC12 - formerly LEOS), 23-27 September 2012, San Francisco, USA. doi:10.1109/IPCon.2012.6358548
    PUB | DOI
     
  • [321]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811
    Lachmair, J., Merenyi, E., Porrmann, M., & Rückert, U. (2012). gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing Maps. European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, 645-650
    PUB | Download (ext.)
     
  • [320]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493993
    Tanoto, A., Werner, F., & Rückert, U. (2012). Multi-Robot System Validation: From Simulation to Prototyping with Minirobots in the Teleworkbench. In U. Rückert, J. Sitte, & F. Werner (Eds.), Advances in Autonomous Mini Robots (pp. 147-160). Heidelberg: Springer. doi:10.1007/978-3-642-27482-4_16
    PUB | DOI
     
  • [319]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2575545
    Backhaus, A., Lachmair, J., Rückert, U., & Seiffert, U. (2012). Hardware accelerated real time classification of hyperspectral imaging data for coffee sorting. European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, 627-632
    PUB | Download (ext.)
     
  • [318]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549880
    Al-Bermani, A., Wördehoff, C., Puntsri, K., Jan, O. H. A., Rückert, U., & Noé, R. (2012). Phase Estimation Filter Length Tolerance for Real-Time 16-QAM Transmission System Using QPSK Partitioning. Presented at the Workshop der ITG-Fachgruppe 5.3.1, 5-6. July 2012, Gewerkschaftshaus Nürnberg.
    PUB
     
  • [317]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547438
    Rückert, U., & Merenyi, E. (2012). Parallel Neural Hardware: The Time is Right. Presented at the ESANN 2012 proceedings, European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. Bruges (Belgium), 25-27 April 2012
    PUB | Download (ext.)
     
  • [316]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S., Jungeblut, T., Porrmann, M., & Rückert, U. (2012). A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control. In Institute of Electrical and Electronics Engineers (Ed.), Proc. of the International Solid-State Circuits Conference (ISSCC) (pp. 484-485). Piscataway, NJ: IEEE. doi:10.1109/ISSCC.2012.6177101
    PUB | DOI
     
  • [315]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    Hagemeyer, J., Hilgenstein, A., Jungewelter, D., Cozzi, D., Felicetti, C., Rückert, U., Korf, S., et al. (2012). A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing. 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012), 9-16. Piscataway, NJ: IEEE. doi:10.1109/AHS.2012.6268642
    PUB | DOI | Download (ext.)
     
  • [314]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T., Ax, J., Porrmann, M., & Rückert, U. (2012). A TCMS-based architecture for GALS NoCs. In IEEE Circuits and Systems Society & Institute of Electrical and Electronics Engineers (Eds.), 2012 IEEE International Symposium on Circuits and Systems Piscataway, NJ: IEEE. doi:10.1109/ISCAS.2012.6271870
    PUB | DOI
     
  • [313]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547432
    Al-Bermani, A., Wördehoff, C., Puntsri, K., Omar, J., Rückert, U., & Noe, R. (2012). Real-time synchronous 16-QAM Optical Transmission system Using Blind Phase Search and QPSK Partitioning Carrier Recovery Techniques. Presented at the Photonische Netze - 13. ITG-Fachtagung 07.05.2012 - 08.05.2012 in Leipzig, Germany
    PUB | Download (ext.)
     
  • [312]
    2012 | Konferenzband | Veröffentlicht | PUB-ID: 2493982
    Rückert U., Sitte J., & Werner F. (Eds.) (2012). Advances in Autonomous Mini Robots: Proceedings of the 6-th AMiRE Symposium. Heidelberg: Springer. doi:10.1007/978-3-642-27482-4
    PUB | DOI
     
  • [311]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    Korf, S., Cozzi, D., Koester, M., Hagemeyer, J., Porrmann, M., Rückert, U., & Santambrogio, M. D. (2011). Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs. In P. Chow (Ed.), IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings (pp. 125-132). Piscataway, NJ: IEEE. https://doi.org/10.1109/fccm.2011.36
    PUB | DOI | Download (ext.)
     
  • [310]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2372545
    Christ, P., Werner, F., Rückert, U., & Mielebacher, J. (2011). An approach for determining linear velocities of athletes from acceleration measurements using a neural network. In B. Morrison & M. H. Hamza (Eds.), Proc. of the 6th IASTED Int. Conf. on Biomechanics (pp. 105-112). ACTA Press. https://doi.org/10.2316/P.2011.751-009
    PUB | DOI
     
  • [309]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2551440
    Blesken, M. W., Chebil, A., Rückert, U., Esquivel, X., & Schuetze, O. (2011). Integrated circuit optimization by means of evolutionary multi-objective optimization. In Association for Computing Machinery (Ed.), ACM Digital Library. Proceedings of the 13th annual conference on Genetic and evolutionary computation (pp. 807-812). New York, NY, USA: ACM. doi:10.1145/2001576.2001686
    PUB | DOI | Download (ext.)
     
  • [308]
    2011 | Konferenzbeitrag | PUB-ID: 2406730
    Al-Bermani, A., Wördehoff, C., Hoffmann, S., Puntsri, K., Rückert, U., & Noé, R. (2011). Realtime Implementation of Square 16-QAM Transmission System. Presented at the SPIE Eco-Photonics, Strasbourg, France. https://doi.org/10.1117/12.882927
    PUB | DOI
     
  • [307]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    Romoth, J., Hagemeyer, J., Porrmann, M., & Rückert, U. (2011). Fast Design-space Exploration with FPGA Cluster. DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing
    PUB | Download (ext.)
     
  • [306]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T., Ax, J., Sievers, G., Hübener, B., Porrmann, M., & Rückert, U. (2011). Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). Proc. of the Radar, Communication and Measurement Conference (RADCOM)
    PUB | Dateien verfügbar
     
  • [305]
    2011 | Konferenzbeitrag | PUB-ID: 2406708
    Al-Bermani, A., Wördehoff, C., Hoffmann, S., Rückert, U., & Noé, R. (2011). Synchronous 16-QAM Transmission in a FPGA-Based Coherent Receiver with Different Phase Estimation Filter Lengths. Presented at the ITG-Fachtagung vom 2.-3. Mai 2011, Leipzig
    PUB | Download (ext.)
     
  • [304]
    2011 | Konferenzbeitrag | PUB-ID: 2406796
    Hoffmann, S., Al-Bermani, A., Wördehoff, C., Rückert, U., & Noé, R. (2011). Kohärente optische 16-QAM-Übertragung mit ressourceneffizienter Vorwärts-Phasenschätzung. Presented at the Workshop der ITG-Fachgruppe 5.3.1, Technische Universität Dortmund.
    PUB
     
  • [303]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623
    Nava, F., Sciuto, D., Santambrogio, M. D., Herbrechtsmeier, S., Porrmann, M., Witkowski, U., & Rückert, U. (2011). Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms. ACM Transactions on Reconfigurable Technology and Systems (TRETS), 4(3), 1-22. doi:10.1145/2000832.2000841
    PUB | DOI | WoS
     
  • [302]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2406697
    Al-Bermani, A., Wördehoff, C., Hoffmann, S., Rückert, U., & Noé, R. (2011). Nonlinear Effect of IQ Modulator in a Realtime Synchronous 16-QAM Transmission System. Presented at the IEEE Photonics 2011 (IPC11), formerly (LEOS), Arlington, Virginia, USA. https://doi.org/10.1109/PHO.2011.6110774
    PUB | DOI
     
  • [301]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510
    Griessl, R., Herbrechtsmeier, S., Porrmann, M., & Rückert, U. (2011). A Low-Power Vision Processing Platform for Mobile Robots. Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures
    PUB | Download (ext.)
     
  • [300]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2300464
    Christ, P., Neuwinger, B., Werner, F., & Rückert, U. (2011). Performance Analysis of the nRF24L01 Ultra-Low-Power Transceiver in a Multi-Transmitter and Multi-Receiver Scenario. 2011 IEEE sensors proceedings, 1205-1208. Piscataway, NJ: IEEE. https://doi.org/10.1109/ICSENS.2011.6127100
    PUB | DOI
     
  • [299]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2307141
    Al-Bermani, A., Wördehoff, C., Hoffmann, S., Peau, T., Rückert, U., & Noe, R. (2011). Synchronous Demodulation of Coherent 16-QAM with Feedforward Carrier Recovery. IEICE Transactions on Communications, E94-B(7), 1794-1800. https://doi.org/10.1587/transcom.E94.B.1794
    PUB | DOI | WoS
     
  • [298]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286604 OA
    Tanoto, A., Werner, F., Rückert, U., & Li, H. (2011). Teleworkbench: Validating Robot Programs from Simulation to Prototyping with Minirobots (Demonstration). Presented at the
    PUB | PDF | Download (ext.)
     
  • [297]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T., Liß, C., Porrmann, M., & Rückert, U. (2011). Design-space Exploration for Flexible WLAN Hardware. In N. Zorba, C. Skianis, & C. Verikoukis (Eds.), Cross Layer Designs in WLAN Systems (pp. 521-564). Leicester, UK: Troubador Publishing.
    PUB
     
  • [296]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286608
    Berge, A. H. K. O., Blesken, B. M., Aunet, C. S., & Rückert, U. (2010). Design of 9T SRAM for dynamic voltage supplies by a multiobjective optimization approach. In IEEE Circuits and Systems Society & Institute of Electrical and Electronics Engineers (Eds.), Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on (pp. 319-322). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICECS.2010.5724517
    PUB | DOI
     
  • [295]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475069
    Blesken, M., Lütkemeier, S., & Rückert, U. (2010). Multiobjective optimization for transistor sizing sub-threshold CMOSlogic standard cells. In Institute of Electrical and Electronics Engineers (Ed.), Proc. IEEE Int Circuits and Systems (ISCAS) Symp (pp. 1480-1483). Piscataway, NJ: IEEE. doi:10.1109/ISCAS.2010.5537349
    PUB | DOI
     
  • [294]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968280
    Al-Bermani, A., Wördehoff, C., Hoffmann, S., Sandel, D., Rückert, U., & Noe, R. (2010). Real-Time Phase-Noise-Tolerant 2.5-Gb/s Synchronous 16-QAM Transmission. IEEE Photonics Technology Letters, 22(24), 1823-1825. https://doi.org/10.1109/LPT.2010.2088385
    PUB | DOI | WoS
     
  • [293]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968122
    Hoffmann, S., Wördehoff, C., Al-Bermani, A., El-Darawy, M., Puntsri, K., Rückert, U., & Noe, R. (2010). Hardware-Efficient Phase Estimation for Digital Coherent Transmission With Star Constellation QAM. IEEE Photonics Journal, 2(2), 174-180. https://doi.org/10.1109/JPHOT.2010.2044784
    PUB | DOI | WoS
     
  • [292]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 1940858
    Christ, P., Mielebacher, J., Haag, M., & Rückert, U. (2010). Detection of Body Movement and Measurement of Physiological Stress with a Mobile Chest Module in Obesity Prevention. In A. Bredford & M. Owens (Eds.), Australasian Conference on Mathematics and Computers in Sport (pp. 67-74).
    PUB
     
  • [291]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018530
    Hoffmann, S., Wördehoff, C., al Bermani, A., Rückert, U., & Noe, R. (2010). Hardware-effiziente Phasenschätzung für kohärenten QAM-Empfang mit regulären Stern-Konstellationen. 11. ITG-Fachtagung "Photonische Netze", 222, 221-224. ITG/VDE.
    PUB | Download (ext.)
     
  • [290]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622
    Puttmann, C., Porrmann, M., & Rückert, U. (2010). Extending GigaNoC towards a Dependable Network-on-Chip. Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC)
    PUB
     
  • [289]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T., Sievers, G., Porrmann, M., & Rückert, U. (2010). Design Space Exploration for Memory Subsystems of VLIW Architectures. 5th IEEE International Conference on Networking, Architecture, and Storage, 377-385. https://doi.org/10.1109/NAS.2010.14
    PUB | DOI
     
  • [288]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018570
    Werner, F., Rückert, U., Tanoto, A., & Welzel, J. (2010). The Teleworkbench: A Platform for Performing and Comparing Experiments in Robot Navigation. Proceedings of the Workshop on The Role of Experiments in Robotics Research
    PUB | Download (ext.)
     
  • [287]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018575 OA
    Wilhelm, P., Thomas, P., Monier, E., Timmermann, R., Dellnitz, M., Werner, F., & Rückert, U. (2010). An Integrated Monitoring and Analysis System for Performance Data of Indoor Sport Activities. The 10th Australasian Conference on Mathematics and Computers in Sport
    PUB | PDF
     
  • [286]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2010). A Framework for the Design Space Exploration of Software-Defined Radio Applications. Presented at the
    PUB | Download (ext.)
     
  • [285]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T., Lütkemeier, S., Sievers, G., Porrmann, M., & Rückert, U. (2010). A modular design flow for very large design space explorations. Presented at the
    PUB | Dateien verfügbar
     
  • [284]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018490
    al Bermani, A., Noe, R., Hoffmann, S., Wördehoff, C., Rückert, U., & Pfau, T. (2010). Implementation of Coherent 16-QAM Digital Receiver with Feedforward Carrier Recovery. Signal Processing in Photonic Communications IEEE Xplore.
    PUB | Download (ext.)
     
  • [283]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T., Puttmann, C., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2010). Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography. Advances in Radio Science, 8, 295-305. https://doi.org/10.5194/ars-8-295-2010
    PUB | PDF | DOI | Download (ext.)
     
  • [282]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    Koester, M., Luk, W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2010). Design Optimizations for Tiled Partially Reconfigurable Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(6), 1048-1061. https://doi.org/10.1109/TVLSI.2010.2044902
    PUB | DOI | WoS
     
  • [281]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018485
    Adelt, P., Kleinjohann, B., Herbrechtsmeier, S., & Rückert, U. (2010). Demonstrating self-optimization using a heterogeneous robot group. Proceedings of the 8th IEEE International Conference on Industrial Informatics, 727-732. Piscataway, NJ: IEEE. https://doi.org/10.1109/INDIN.2010.5549650
    PUB | DOI
     
  • [280]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018497
    Al Bermani, A., Wördehoff, C., Hoffmann, S., Puntsri, K., Pfau, T., Rückert, U., & Noe, R. (2010). Realtime 16-QAM Transmission with Coherent Digital Receiver. OECC 2010
    PUB | Download (ext.)
     
  • [279]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018505
    al Bermani, A., Wördehoff, C., Pfau, T., Hoffmann, S., Rückert, U., & Noe, R. (2010). First Realtime Synchronous 16-QAM Transmission with Coherent Digital Receiver. 11. ITG-Fachtagung "Photonische Netze", 222, 153-156
    PUB | Download (ext.)
     
  • [278]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018517
    Herbrechtsmeier, S., El Habbal, M. A. M., Rückert, U., & Witkowski, U. (2010). Robust Multihop Communication for Mobile Applications. Proceedings of IARP Workshop on Robotics for Risky Interventions and Environmental Surveillance (RISE) 2010
    PUB | Download (ext.)
     
  • [277]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557
    Purnaprajna, M., Porrmann, M., Rückert, U., Hussmann, M., Thies, M., & Kastens, U. (2010). Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis. ACM Transactions on Reconfigurable Technology, 3(3), 1-25. https://doi.org/10.1145/1839480.1839487
    PUB | DOI | WoS
     
  • [276]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564
    Puttmann, C., Porrmann, M., Grassi, P. R., Santambrogio, M. D., & Rückert, U. (2010). High Level Specification of Embedded Listeners for Monitoring of Network-on-Chips. Proceedings of the IEEE International Symposium on Circuits and Systems, 3333-3336. https://doi.org/10.1109/ISCAS.2010.5537894
    PUB | DOI
     
  • [275]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1929613
    Lütkemeier, S., & Rückert, U. (2010). A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror. IEEE Transactions on Circuits and Systems II: Express Briefs, 57(9), 721-724. https://doi.org/10.1109/TCSII.2010.2056110
    PUB | DOI | WoS
     
  • [274]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286299
    Blesken, M., Rückert, U., Steenken, D., Witting, K., & Dellnitz, M. (2009). Multiobjective optimization for transistor sizing of CMOS logic standard cells using set-oriented numerical techniques. In Institute of Electrical and Electronics Engineers (Ed.), NORCHIP, 2009 (pp. 1-4). Piscataway, NJ: IEEE. https://doi.org/10.1109/NORCHP.2009.5397800
    PUB | DOI
     
  • [273]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289299
    Lütkemeier, S., Kaulmann, T., & Rückert, U. (2009). A Sub-200mV 32bit ALU with 0.45pJ/instruction in 90nm CMOS. Semiconductor Conference Dresden
    PUB
     
  • [272]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289340
    Chinapirom, T., Witkowski, U., & Rückert, U. (2009). Vision Module for Mini-robots Providing Optical Flow Processing for Obstacle Avoidance. Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics, 208-219. Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-642-03983-6_25
    PUB | DOI
     
  • [271]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752 OA
    Purnaprajna, M., Pohl, C., Porrmann, M., & Rückert, U. (2009). Using Run-time Reconfiguration for Energy Savings in Parallel Data Processing. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA, 119-125.
    PUB | PDF
     
  • [270]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R., Jungeblut, T., Thies, M., Porrmann, M., Rückert, U., & Kastens, U. (2009). A Synchronization Method for Register Traces of Pipelined Processors. Proceedings of the International Embedded Systems Symposium 2009 (IESS '09), 207-217. Schloss Langenargen, Germany.
    PUB | Download (ext.)
     
  • [269]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144795
    El-Darawy, M., Herath, V., Pfau, T., Hoffmann, S., Peveling, R., Adamczyk, O., Wördehoff, C., et al. (2009). Analysis of an ASIC-based Coherent Polarization-Multiplexed QPSK Receiver and Different Receiver Frontends. 10. ITG-Fachtagung "Photonische Netze" Leipzig, Germany: ITG/VDE.
    PUB | Download (ext.)
     
  • [268]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144865 OA
    Wilhelm, P., Monier, E., Thomas, P., & Rückert, U. (2009). SPA - A System for Analysis of Indoor Team Sports Using Video Tracking and Wireless Sensor Network. 6th International Symposium on Image and Signal Processing and Analysis (ISPA 2009) Salzburg, Austria.
    PUB | PDF
     
  • [267]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    Paiz, C., Pohl, C., Radkowski, R., Hagemeyer, J., Porrmann, M., & Rückert, U. (2009). FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications. In IEEE Circuits and Systems Society, IEEE Electron Devices Society, & Institute of Electrical and Electronics Engineers (Eds.), Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09) (pp. 372-375). The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE. https://doi.org/10.1109/FPT.2009.5377612
    PUB | DOI
     
  • [266]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772
    Liß, C., Porrmann, M., & Rückert, U. (2009). InCyte ChipEstimator in Research and Education. CDNLive EMEA 2009
    PUB
     
  • [265]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144791
    Neuwinger, B., Witkowski, U., & Rückert, U. (2009). Ad-Hoc Communication and Localization System for Mobile Robots. Advances in Robotics, 5744/2009, 220-229. Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-642-03983-6_26
    PUB | DOI
     
  • [264]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144809
    Hoffmann, S., El-Darawy, M., Pfau, T., Wördehoff, C., Peveling, R., Rückert, U., & Noe, R. (2009). Realtime Phase Tracking with Multiplier-Free Barycenter Approximation in Digital Synchronous QPSK Receiver for Coherent Detection. In IEEE Photonics Society (Ed.), LEOS, Annual Meeting 2009 Belek-Antalya, Turkey: IEEE. https://doi.org/10.1109/LEOS.2009.5343406
    PUB | DOI
     
  • [263]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T., Klassen, D., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2009). Design Space Exploration for Next Generation Wireless Technologies (invited talk). Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009
    PUB
     
  • [262]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    Pohl, C., Hagemeyer, J., Porrmann, M., & Rückert, U. (2009). Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks. In IEEE Circuits and Systems Society, IEEE Electron Devices Society, & Institute of Electrical and Electronics Engineers (Eds.), Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09) (pp. 368-371). Sydney, Australia: IEEE. https://doi.org/10.1109/FPT.2009.5377611
    PUB | DOI
     
  • [261]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144885
    Noe, R., Rückert, U., Hoffmann, S., Pfau, T., & Peveling, R. (2009). Realization of Digital Coherent Receivers. In IEEE Photonics Society (Ed.), LEOS, Annual Meeting 2009 Belek-Antalya, Turkey: IEEE. https://doi.org/10.1109/LEOS.2009.5343202
    PUB | DOI
     
  • [260]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289332
    El-Habbal, M., Rückert, U., & Witkowski, U. (2009). Topology Control in Large-Scale High Dynamic Mobile Ad-Hoc Networks. Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics, 239-250. Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-642-03983-6_28
    PUB | DOI
     
  • [259]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285840
    Noe, R., Rückert, U., Hoffmann, S., Peveling, R., Pfau, T., El-Darawy, M., & Al-Bermani, A. (2009). Real-time implementation of digital coherent detection. Optical Communication, 2009. ECOC '09. 35th European Conference on, 1-4
    PUB | Download (ext.)
     
  • [258]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144786
    Loeb, H. - P., Liß, C., Sauer, C., & Rückert, U. (2009). UMAC – A Universal MAC Architecture for Heterogeneous Home Networks. In Institute of Electrical and Electronics Engineers (Ed.), The International Workshop on Wireless and Optical Networks (WI-OPT 2009), Workshop at International Conference on Ultra Modern Telecommunications (ICUMT-2009) Piscataway, NJ: IEEE. https://doi.org/10.1109/ICUMT.2009.5345503
    PUB | DOI
     
  • [257]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144856
    Hoffmann, S., Herath, V., El-Darawy, M., Pfau, T., Wördehoff, C., Peveling, R., Rückert, U., et al. (2009). Multiplier-Free Realtime Phase Tracking in Digital Synchronous QPSK, Receiver for Coherent Optical Detection. In Institute of Electrical and Electronics Engineers (Ed.), ICIIS2009, CIE3-1, 28.-31. Dec. 2009, University of Perydenia, Sri Lanka Piscataway, NJ: IEEE. https://doi.org/10.1109/ICIINFS.2009.5429888
    PUB | DOI
     
  • [256]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870
    Purnaprajna, M., Porrmann, M., & Rückert, U. (2009). Run-time reconfigurability in embedded multiprocessors. ACM SIGARCH Computer Architecture News, 37(2), 30-37. https://doi.org/10.1145/1577129.1577135
    PUB | DOI | Download (ext.)
     
  • [255]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782
    Liß, C., Porrmann, M., & Rückert, U. (2009). Early Exploration of Network Processor Architectures Using Cadence InCyte Chip Estimator. CDNLive EMEA 2009
    PUB
     
  • [254]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144821
    Witkowski, U., Sitte, J., Herbrechtsmeier, S., & Rückert, U. (2009). AMiRESot – A New Robot Soccer League with Autonomous Miniature Robots. Progress in Robotics. FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, Communications in Computer and Information Science, 44 Springer. https://doi.org/10.1007/978-3-642-03986-7_39
    PUB | DOI
     
  • [253]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144826
    Herbrechtsmeier, S., Witkowski, U., & Rückert, U. (2009). BeBot: A Modular Mobile Miniature Robot Platform Supporting Hardware Reconfiguration and Multi-standard Communication. In C. -hwan Kim & Federation of International Robosoccer Association (Eds.), Communications in computer and information science: Vol. 44. Progress in Robotics, Communications in Computer and Information Science. Proceedings of the FIRA RoboWorld Congress 2009 (pp. 346-356). Incheon, Korea: Springer. https://doi.org/10.1007/978-3-642-03986-7_40
    PUB | DOI
     
  • [252]
    2009 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2144838
    Tanoto, A., Rückert, U., & Witkowski, U. (2009). Teleworkbench: A Teleoperated Platform for Experiments in Multi-Robotics. In S. G. Tzafestas (Ed.), Web-Based Control and Robotics Education (Vol. 38, pp. 267-296). Dordrecht: Springer Verlag. https://doi.org/10.1007/978-90-481-2505-0_12
    PUB | DOI
     
  • [251]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144730
    Kim, J. - H., Sam Ge, S., Vadakkepat, P., Jesse, N., Al Mamun, A., Puthusserypady, S., Rückert, U., et al. (2009). Progress in Robotics, Proceedings of the FIRA RoboWorld Congress 2009 (Lecture Notes in Computer Science) Vol. 44, 2009, ISBN: 978-3-642-03985-0. Incheon, Korea: Springer. https://doi.org/10.1007/978-3-642-03986-7
    PUB | DOI
     
  • [250]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144805 OA
    Monier, E., Wilhelm, P., & Rückert, U. (2009). A Computer Vision Based Tracking System for Indoor Team Sports. The fourth International Conference on Intelligent Computing and Information Systems Cairo, Egypt.
    PUB | PDF
     
  • [249]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144817
    Monier, E., Wilhelm, P., & Rückert, U. (2009). Template Matching Based Tracking of Players in Indoor Team Sports. Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC 2009) Como, Italy: IEEE. https://doi.org/10.1109/ICDSC.2009.5289408
    PUB | DOI
     
  • [248]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    Paiz, C., Hagemeyer, J., Pohl, C., Porrmann, M., Rückert, U., Schulz, B., Peters, W., et al. (2009). FPGA-Based Realization of Self-Optimizing Drive-Controllers. In IEEE Industrial Electronics Society, Institute of Electrical and Electronics Engineers, Keisoku-jidō-seigyō-gakkai, & Universidade do Porto (Eds.), the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009) (pp. 2868-2873). Piscataway, NJ: IEEE. https://doi.org/10.1109/iecon.2009.5415402
    PUB | PDF | DOI | Download (ext.)
     
  • [247]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144898
    Kim, J. - H., Sam Ge, S., Vadakkepat, P., Jesse, N., Al Mamun, A., Puthusserypady, S., Rückert, U., et al. (2009). Advances in Robotics, Proceedings of the FIRA RoboWorld Congress 2009 (Lecture Notes in Computer Science, 5744) 1st ed. Incheon, Korea: Springer. https://doi.org/10.1007/978-3-642-03983-6
    PUB | DOI
     
  • [246]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289189
    Amin, S., Tanoto, A., Witkowski, U., Rückert, U., & Abdel-Wahaab, M. (2008). Modified Local Navigation Strategy for Un-known Environment Exploration. 5th IEEE International Conference on Informatics in Control, Automation and Robotics
    PUB
     
  • [245]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T., Grünewald, M., Porrmann, M., & Rückert, U. (2008). Realtime multiprocessor for mobile ad hoc networks. Advances in Radio Science, 6, 239-243. https://doi.org/10.5194/ars-6-239-2008
    PUB | PDF | DOI | Download (ext.)
     
  • [244]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289244
    Witkowski, U., Herbrechtsmeier, S., El Habbal, M. A. M., & Rückert, U. (2008). Powerful Miniature Robot for Research and Education. IEEE Proceedings of the, 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria
    PUB
     
  • [243]
    2008 | Report | PUB-ID: 2285789
    Noe, R., & Rückert, U. (2008). Abschlußbericht zum EU-Projekt: synQPSK. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [242]
    2008 | Report | PUB-ID: 2285797
    von zur Gathen, J., & Rückert, U. (2008). Abschlußbericht des DFG-Projektes: Ressourceneffiziente Hardware-Software-Kombinationen für Kryptographie mit elliptischen Kurven. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [241]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285920
    Witkowski, U., Monier, E., Rückert, U., El Ghoul, S., El-Ghoniemy, M. S., Wahab, M. S. A., Fouad, A., et al. (2008). An automated platform for minirobots experiments. In Institute of Electrical and Electronics Engineers (Ed.), Control, Automation, Robotics and Vision, 2008. ICARCV 2008. 10th International Conference on (pp. 685-688). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICARCV.2008.4795600
    PUB | DOI
     
  • [240]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T., Dreesen, R., Porrmann, M., Rückert, U., & Hachmann, U. (2008). Design Space Exploration for Resource Efficient VLIW-Processors. University Booth of the Design, Automation and Test in Europe (DATE) conference
    PUB
     
  • [239]
    2008 | Konferenzband | Veröffentlicht | PUB-ID: 2289231
    Chinapirom T., Witkowski U., & Rückert U. (Eds.) (2008). A Biologically-Inspired and Resource-Efficient Vision System using Mobile Mini-Robots for Obstacle Avoidance.
    PUB
     
  • [238]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175 OA
    Puttmann, C., Shokrollahi, J., Porrmann, M., & Rückert, U. (2008). Hardware Accelerators for Elliptic Curve Cryptography. Advances in Radio Science, 6, 259-264. https://doi.org/10.5194/ars-6-259-2008
    PUB | PDF | DOI | Download (ext.)
     
  • [237]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289199
    Ebied, H., Witkowski, U., & Rückert, U. (2008). Visual Landmarks Based on Self-localization of Mobile Robot Using an Alternative Geometric Triangulation Algorithm. The 5th International Conference on Computational Intelligence, Robotics and Autonomous Systems (CIRAS),19-21 June-2008, Linz, Austria.
    PUB
     
  • [236]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289221
    Amin, S., Tanoto, A., Witkowski, U., Rückert, U., & Abdel-Wahab, M. (2008). Effect of Global Position Information in Unknown World Exploration – A Case Study using the Teleworkbench. IEEE Proceedings of the 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria, 57, 1042-1047. Elsevier BV. https://doi.org/10.1016/j.robot.2009.07.019
    PUB | DOI | WoS
     
  • [235]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289183
    Ebied, H., Witkowski, U., & Rückert, U. (2008). Robot Localization Based on Visual Landmarks. The 5th IEEE International Conference on Informatics in Control, Automation and Robotics (ICINCO), 11-15 May-2008, Funchal, Madeira – Portugal.
    PUB
     
  • [234]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289215
    El Habbal, M. A. M., Witkowski, U., & Rückert, U. (2008). Mobile Ad-hoc Communication applied and optimized for disaster scenarios. Wireless Technologies Kongress 2008, 25-34. Bochum, Germany.
    PUB
     
  • [233]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362
    Puttmann, C., Niemann, J. - C., Porrmann, M., & Rückert, U. (2007). GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-Multiprocessors. 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), 495-502. Piscataway, NJ: IEEE. https://doi.org/10.1109/dsd.2007.4341514
    PUB | DOI | Download (ext.)
     
  • [232]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033 OA
    Hussmann, M., Thies, M., Kastens, U., Purnaprajna, M., Porrmann, M., & Rückert, U. (2007). Compiler-Driven Reconfiguration of Multiprocessors. Proceedings of the Workshop on Application Specific Processors (WASP) 2007
    PUB | PDF | Download (ext.)
     
  • [231]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T., Grünewald, M., Porrmann, M., & Rückert, U. (2007). Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks. Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007
    PUB | Download (ext.)
     
  • [230]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289076
    Eickhoff, R., Kaulmann, T., & Rückert, U. (2007). Neural Inspired Architectures for Nanoelectronics. In F. Sandoval (Ed.), Lecture notes in computer science: Vol. 4507. Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN) (pp. 414-421). Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-540-73007-1_51
    PUB | DOI
     
  • [229]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289090 OA
    Tanoto, A., Witkowski, U., & Rückert, U. (2007). Teleworkbench: A Remotely-Accessible Robotic Laboratory foe Education. Spring 2007 AAAI Symposium on Robots in AI and CS Education-Robots and Robot Venues: Resources for AI Education
    PUB | PDF | Download (ext.)
     
  • [228]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289108
    Kaulmann, T., Löffler, A., & Rückert, U. (2007). A Control Approach to a Biophysical Neuron Model. In J. P. M. de Sá (Ed.), Lecture notes in computer science: Vol. 4668. Proceedings of the International Conference on Artificial Neural Networks (pp. 529-538). Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-540-74690-4_54
    PUB | DOI
     
  • [227]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289127
    El Habbal, M. A. M., Witkowski, U., & Rückert, U. (2007). FPGA based speech processing for the Khepera Robot. 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE)
    PUB
     
  • [226]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289139
    Amin, S., Tanoto, A., Witkowski, U., Rückert, U., & Abdel-Wahaab, M. (2007). Environment Exploration Using Mini-Robot Khepera. International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2007)
    PUB
     
  • [225]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289160 OA
    Rückert, U., & Eickhoff, R. (2007). Controlling complexity of RBF networks by similarity. ESANN, 181-186.
    PUB | PDF
     
  • [224]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993
    Rana, V., Santambrogio, M., Sciuto, D., Kettelhoit, B., Koester, M., Porrmann, M., & Rückert, U. (2007). Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. In IEEE Computer Society. Technical Committee on Parallel Processing & Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds.), Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society. Piscataway, NJ: IEEE. https://doi.org/10.1109/IPDPS.2007.370363
    PUB | DOI
     
  • [223]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286187
    Xu, F., & Rückert, U. (2007). Interference Suppression Technique for Diversity Selection Combining in an Indoor Environment. Antennas, 2007. INICA '07. 2nd International ITG Conference on, 53-57. IEEE. https://doi.org/10.1109/INICA.2007.4353931
    PUB | DOI
     
  • [222]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016
    Niemann, J. - C., Puttmann, C., Porrmann, M., & Rückert, U. (2007). Resource efficiency of the GigaNetIC chip multiprocessor architecture. Journal of System Architecture, 53(5-6), 285-299. https://doi.org/10.1016/j.sysarc.2006.10.007
    PUB | DOI | WoS
     
  • [221]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289096
    Kaulmann, T., Lütkemeier, S., & Rückert, U. (2007). IAF Neuron Implementation for Mixed-Signal PCNN Hardware. In F. Sandoval (Ed.), Lecture notes in computer science: Vol. 4507. Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN) (pp. 447-454). Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-540-73007-1_55
    PUB | DOI
     
  • [220]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289149
    Du, J. L., Tanoto, A., Monier, E., Witkowski, U., & Rückert, U. (2007). Multi-Robotics Experiments using Mini-Robots. Proceedings of the 3rd International Conference on Intelligent Computing and Information Systems (ICICIS 2007)
    PUB
     
  • [219]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289133
    Ebied, H., Witkowski, U., & Rückert, U. (2007). Robot Localization System Based on 2D-Color Vision Sensor. The 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 2-5 October 2007, Buenos Aires, Argentina.
    PUB
     
  • [218]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049
    Niemann, J. - C., Liß, C., Porrmann, M., & Rückert, U. (2007). A Multiprocessor Cache for Massively Parallel SoC Architectures. In P. Lukowicz (Ed.), Lecture Notes in Computer Science: Vol. 4415. ARCS'07: Architecture of Computing Systems (pp. 83-97). Zurich, Switzerland: Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-540-71270-1_7
    PUB | DOI
     
  • [217]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289102
    Eickhoff, R., Kaulmann, T., & Rückert, U. (2007). Impact of shrinking technologies on the activation function of neurons. In J. P. M. de Sá (Ed.), Lecture notes in computer science: Vol. 4668. Proceedings of the International Conference on Artificial Neural Networks (pp. 501-510). Berlin, Heidelberg: Springer-Verlag. https://doi.org/10.1007/978-3-540-74690-4_51
    PUB | DOI
     
  • [216]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289114 OA
    Du, J. L., Witkowski, U., & Rückert, U. (2007). A Bluetooth Scatternet for the Khepera Robot. 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 189-195. Buenos Aires, Argentina.
    PUB | PDF | Download (ext.)
     
  • [215]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289121 OA
    Chinapirom, T., Witkowski, U., & Rückert, U. (2007). Stereoscopic Camera for Autonomous Mini-Robots Applied in KheperaSot League. FIRA Robot World Congress 2007, on CD San Francisco, USA.
    PUB | PDF | Download (ext.)
     
  • [214]
    2007 | Konferenzband | Veröffentlicht | PUB-ID: 2285612
    Rückert U., Sitte J., & Witkowski U. (Eds.) (2007). Autonomous Minirobots for Research and Edutainment (216). Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [213]
    2007 | Report | PUB-ID: 2285787
    Rückert, U. (2007). Abschlußbericht zum BMBF-Projekt: NGN-PlaNets: Platforms for Networked Services. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [212]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145075
    Sitte, J., Zhang, L., & Rückert, U. (2007). Characterization of Analog Local Cluster Neural Network Hardware for Control. IEEE Transactions on Neural Networks, Special Issue on Neural Networks for Feedback Control Systems, 18(4), 1242-1253. https://doi.org/10.1109/TNN.2007.899518
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [211]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145265
    Eickhoff, R., & Rückert, U. (2007). Robustness of radial basis functions. Neurocomputing, 70(16-18), 2758-2767. https://doi.org/10.1016/j.neucom.2006.04.012
    PUB | DOI | Download (ext.) | WoS
     
  • [210]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286250
    Kaulmann, T., Dikmen, D., & Rückert, U. (2007). A Digital Framework for Pulse Coded Neural Network Hardware with Bit-Serial Operation. Hybrid Intelligent Systems, 2007. HIS 2007. 7th International Conference on, 302-307
    PUB
     
  • [209]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724
    Köster, M., Kalte, H., Porrmann, M., & Rückert, U. (2007). Defragmentation Algorithms for Partially Reconfigurable Hardware. VLSI-SoC: From Systems to Silicon, 240, 41-53. https://doi.org/10.1007/978-0-387-73661-7_4
    PUB | DOI
     
  • [208]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286265
    Xu, F., & Rückert, U. (2007). SSB: A new diversity selection combining scheme and its test-bed implementation. In Institute of Electrical and Electronics Engineers (Ed.), Telecommunications and Malaysia International Conference on Communications, 2007. ICT-MICC 2007. IEEE International Conference on (pp. 561-566). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICTMICC.2007.4448703
    PUB | DOI
     
  • [207]
    2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718
    Porrmann, M., Witkowski, U., & Rückert, U. (2006). Implementation of Self-Organizing Feature Maps in Reconfigurable Hardware. In A. Omondi & J. Rajapakse (Eds.), FPGA Implementations of Neural Networks (pp. 247-269). Boston, MA: Springer. https://doi.org/10.1007/0-387-28487-7_9
    PUB | DOI
     
  • [206]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289026
    Eickhoff, R., & Rückert, U. (2006). Pareto-optimal noise and approximation properties of RBFnetworks. In S. Kollias (Ed.), Proceedings of the 16th International Conference on Artificial Neural Networks (ICANN) (pp. pp.:993-1002). Athens, Greece: Springer Berlin Heidelberg. https://doi.org/10.1007/11840817_103
    PUB | DOI
     
  • [205]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289009 OA
    Tanoto, A., Du, J. L., Witkowski, U., & Rückert, U. (2006). Teleworkbench: An Analysis Tool for Multi-Robotic Experiments. Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006), 19th World Computer Congress (WCC) Santiago, Chile.
    PUB | PDF | Download (ext.)
     
  • [204]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289022
    Xu, F., & Rückert, U. (2006). Neighborhood Discovery and MAC Protocol for MANETs using a Low Complexity Directional Scheme. Proceedings of World Mobile Congress (WMC’06) Beijing, China, 11-13. Sep.
    PUB
     
  • [203]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961
    Niemann, J. - C., Puttmann, C., Porrmann, M., & Rückert, U. (2006). GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications. In W. Grass (Ed.), Lecture notes in computer science: Vol. 3894. ARCS'06 Architecture of Computing Systems (pp. 268-282). Berlin, Heidelberg: Springer Berlin Heidelberg. https://doi.org/10.1007/11682127_19
    PUB | DOI
     
  • [202]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289000
    Kaulmann, T., Witkowski, U., Chinapirom, T., & Rückert, U. (2006). Universal mini-robot with micro-processor and reconfigurable hardware. Proc. of FIRA RoboWorld Conference 2006, 137-142
    PUB
     
  • [201]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288994
    Witkowski, U., Chinapirom, T., & Rückert, U. (2006). Self-Orientation of Soccer Robots on Soccer Pitch by Identifying Pitch Lines. Proceedings of FIRA RoboWorld Congress, 13-18
    PUB
     
  • [200]
    2006 | Patent | Veröffentlicht | PUB-ID: 2494093
    Niemann, J. - C., Sauer, C., Porrmann, M., & Rückert, U. (2006). Flexible Beschleunigungseinheit für die Verarbeitung von Datenpaketen
    PUB
     
  • [199]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289018
    Xu, F., & Rückert, U. (2006). Neighborhood Discovery and MAC Protocol for MANETs using the Multiple-directional-antennas Scheme. Proceedings of VDE Kongress – ITG Fachtagung 'Mobility' Aachen, Germany, 23.-25. Oct.
    PUB
     
  • [198]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286083
    Eickhoff, R., & Rückert, U. (2006). Enhancing Fault Tolerance of Radial Basis Functions. In Institute of Electrical and Electronics Engineers (Ed.), Neural Networks, 2006. IJCNN '06. International Joint Conference on (pp. 5066-5073). Piscataway, NJ: IEEE. https://doi.org/10.1109/IJCNN.2006.247234
    PUB | DOI
     
  • [197]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278
    Jäger, B., Porrmann, M., & Rückert, U. (2006). Bio-inspired massively parallel architectures for nanotechnologies. In IEEE Circuits and Systems Society (Ed.), Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006). (pp. 1961-1964). Piscataway, NJ: IEEE. https://doi.org/10.1109/ISCAS.2006.1692996
    PUB | DOI
     
  • [196]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286350
    Eickhoff, R., Kaulmann, T., & Rückert, U. (2006). SIRENS: A Simple Reconfigurable Neural Hardware Structure for artificial neural network implementations. In Institute of Electrical and Electronics Engineers (Ed.), Neural Networks, 2006. IJCNN '06. International Joint Conference on (pp. 2830-2837). Piscataway, NJ: IEEE. https://doi.org/10.1109/IJCNN.2006.247211
    PUB | DOI
     
  • [195]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969 OA
    Sauer, C., Gries, M., Dirk, S., Niemann, J. - C., Porrmann, M., & Rückert, U. (2006). A Lightweight NoC for the NOVA Packet Processing Plattform. Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop Munich, Germany.
    PUB | PDF | Download (ext.)
     
  • [194]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288988 OA
    Eickhoff, R., Sitte, J., & Rückert, U. (2006). Robust Local Cluster Neural Networks (ESANN). Proceedings of the 14th European Symposium on Artificial Neural Networks (ESANN), 119-124. Bruges, Belgium.
    PUB | PDF | Download (ext.)
     
  • [193]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285694
    Eickhoff, R., & Rückert, U. (2006). Robustness of Radial Basis Functions. Neurocomputing, 70(16-18), 2758-2767. https://doi.org/10.1016/j.neucom.2006.04.012
    PUB | DOI | WoS
     
  • [192]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882 OA
    Eickhoff, R., Niemann, J. - C., Porrmann, M., & Rückert, U. (2005). Adaptable Switch boxes as on-chip routing nodes for networks-on-chip. In A. Rettberg, M. C. Zanella, & F. J. Rammig (Eds.), IFIP On-Line Library in Computer Science: Vol. 184. From Specification to Embedded Systems Application (pp. 201-210). Boston, MA: Springer. https://doi.org/10.1007/11523277_20
    PUB | PDF | DOI | Download (ext.)
     
  • [191]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910
    Liß, C., Peveling, R., Porrmann, M., & Rückert, U. (2005). Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-Roadmap. Symposium fuer Vorausschau und Technologieplanung, 87-103
    PUB
     
  • [190]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288927
    Rückert, U., & Beiu, V. (2005). Neural Inspired Architectures for Nanoelectronics. In F. Sandoval (Ed.), Lecture notes in computer science: Vol. 4507. Second International Conference on intelligent Computing and Information Systems – ICICIS 2005 (pp. 1-2). Cairo, Egypt: Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-540-73007-1_51
    PUB | DOI
     
  • [189]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285950
    Xu, F., Grunewald, M., & Rückert, U. (2005). A low complexity directional scheme for mobile ad hoc networks. Personal, Indoor and Mobile Radio Communications, 2005. PIMRC 2005. IEEE 16th International Symposium on, 2, 1349-1353. IEEE. https://doi.org/10.1109/PIMRC.2005.1651660
    PUB | DOI | Download (ext.)
     
  • [188]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288816
    Tanoto, A., Witkowski, U., & Rückert, U. (2005). Teleworkbench: A Teleoperated Platform for Multi-Robot Experiments. Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005) Awara-Spa, Fukui, JAPAN: Springer-Verlag. https://doi.org/10.1007/3-540-29344-2_7
    PUB | DOI
     
  • [187]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288823
    Chinapirom, T., Witkowski, U., & Rückert, U. (2005). Sensornahe Signalverarbeitung mit FPGAs am Beispiel der Berechnung des optischen Flusses auf mobilen Robotern. 3. Paderborner Workshop: Intelligente Mechatronische Systeme Paderborn.
    PUB
     
  • [186]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288861
    Kaulmann, T., Ferber, M., Witkowski, U., & Rückert, U. (2005). Analog VLSI Implementation of Adaptive Synapses in Pulsed Neural Networks. In J. Cabestany, A. Prieto, & D. F. Sandoval (Eds.), Lecture notes in computer science: Vol. 3512. Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN) (pp. 455-462). Berlin, Heidelberg: Springer Berlin Heidelberg. https://doi.org/10.1007/11494669_56
    PUB | DOI
     
  • [185]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900
    Kettelhoit, B., Klassen, A., Paiz, C., Porrmann, M., & Rückert, U. (2005). Rekonfigurierbare Hardware zur Regelung mechatronischer Systeme. 3. Paderborner Workshop: Intelligente mechatronische Systeme, 195-205.
    PUB
     
  • [184]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288955
    Chinapirom, T., Witkowski, U., & Rückert, U. (2005). Universal FPGA-Microcontroller Module for Autonomous Minirobots. AMiRE, 21-26. Berlin/Heidelberg: Springer-Verlag. https://doi.org/10.1007/3-540-29344-2_3
    PUB | DOI
     
  • [183]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050
    Koester, M., Porrmann, M., & Rückert, U. (2005). Placement-Oriented Modeling of Partially Reconfigurable Architectures. Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.
    PUB | Download (ext.)
     
  • [182]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286202
    Du, J. L., Witkowski, U., & Rückert, U. (2005). CSD: cell-based service discovery in large-scale robot networks. Intelligent Robots and Systems, 2005. (IROS 2005). 2005 IEEE/RSJ International Conference on, 2235-2240. IEEE. https://doi.org/10.1109/IROS.2005.1544963
    PUB | DOI
     
  • [181]
    2005 | Report | PUB-ID: 2285785
    Rückert, U. (2005). Abschlußbericht zum Projekt: Aktives Nachsichtsystem für mehr Sicherheit im Straßenverkehr. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [180]
    2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286
    Grünewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2005). A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In P. Crowely, M. A. Franklin, H. Hadimioglu, & P. Z. Onufryk (Eds.), Network Processor Design: Issues and Practices (Vol. 3, pp. 245-277). Morgan Kaufmann Publisher. https://doi.org/10.1016/b978-012088476-6/50013-7
    PUB | DOI
     
  • [179]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853 OA
    Niemann, J. - C., Porrmann, M., Sauer, C., & Rückert, U. (2005). An Evaluation of the Scalable GigaNetIC Architecture for Access Networks. Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005)
    PUB | PDF
     
  • [178]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288920
    Chinapirom, T., Witkowski, U., & Rückert, U. (2005). Dynamic Reconfiguration of Universal FPGA-Microcontroller Module. FIRA RoboWorld Congress 2005
    PUB
     
  • [177]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288932 OA
    Franzmeier, M., Rückert, U., & Witkowski, U. (2005). Explorative Data Analysis Based on Self-Organizing Maps and Automatic Map Analysis. In J. Cabestany, A. Prieto, & D. F. Sandoval (Eds.), Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN) (pp. 725-733).
    PUB | PDF
     
  • [176]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944
    Paiz, C., Kettelhoit, B., Klassen, A., Porrmann, M., & Rückert, U. (2005). Dynamically reconfigurable hardware for digital controllers in mechatronic systems. In IEEE Industrial Electronics Society (Ed.), IEEE International Conference on Mechatronics (ICM 2005) (pp. 675-680). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICMECH.2005.1529342
    PUB | DOI
     
  • [175]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829
    Kettelhoit, B., Kalte, H., Porrmann, M., & Rückert, U. (2005). Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic Systems. 5. GMM/ITG/GI-Workshop Multi-Nature Systems, 97-101
    PUB
     
  • [174]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288843
    Grünewald, M., Xu, F., & Rückert, U. (2005). Increasing the Resource-Efficiency of the CSMA/CA Protocol in Directional Ad Hoc Networks. In V. R. Syrotiuk (Ed.), Lecture notes in computer science: Vol. 3738. Proceedings of the 4th International Conference on AD-HOCNetworks & Wireless (p. 360). Cancun, Mexico: Springer Berlin Heidelberg. https://doi.org/10.1007/11561354_8
    PUB | DOI
     
  • [173]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286007
    Eickhoff, R., & Rückert, U. (2005). Fault-tolerance of basis function networks using tensor product stabilizers. Systems, Man and Cybernetics, 2005 IEEE International Conference on, 3, 2144-2149. IEEE. https://doi.org/10.1109/ICSMC.2005.1571466
    PUB | DOI
     
  • [172]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286292
    Jager, B., Niemann, J. - C., & Rückert, U. (2005). Analytical approach to massively parallel architectures for nanotechnologies. Application-Specific Systems, Architecture Processors, 2005. ASAP 2005. 16th IEEE International Conference on, 268-275. IEEE. https://doi.org/10.1109/ASAP.2005.14
    PUB | DOI
     
  • [171]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288806
    Eickhoff, R., & Rückert, U. (2005). Robustness of Radial Basis Functions. In J. Cabestany, A. Prieto, & D. F. Sandoval (Eds.), Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN) (pp. 264-271). Barcelona, Spain.
    PUB
     
  • [170]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288837 OA
    Eickhoff, R., & Rückert, U. (2005). Tolerance of Radial-Basis Functions Against Stuck-At-Faults. Proceedings of the International Conference on Artificial Neural Networks (ICANN), 1003-1008. Warsaw, Poland.
    PUB | PDF | Download (ext.)
     
  • [169]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288875
    Du, J. L., Witkowski, U., & Rückert, U. (2005). Teleoperation of a Mobile Autonomous Robot using Web Services. Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005) Fukui, Japan: Springer-Verlag. https://doi.org/10.1007/3-540-29344-2_8
    PUB | DOI
     
  • [168]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285904
    Du, J. L., Ruhrup, S., Witkowski, U., & Rückert, U. (2005). Resource and service discovery for large-scale robot networks in disaster scenarios. In Institute of Electrical and Electronics Engineers (Ed.), Safety, Security and Rescue Robotics, Workshop, 2005 IEEE International (pp. 7-12). Piscataway, NJ: IEEE. https://doi.org/10.1109/SSRR.2005.1501260
    PUB | DOI
     
  • [167]
    2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654
    Kalte, H., Kettelhoit, B., Koester, M., Porrmann, M., & Rückert, U. (2005). A System Approach for Partially Reconfigurable Architectures. International Journal of Embedded Systems (IJES), Inderscience Publisher, 1(3/4), 274-290. https://doi.org/10.1504/IJES.2005.009956
    PUB | DOI
     
  • [166]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119
    Kalte, H., Lee, G., Porrmann, M., & Rückert, U. (2005). REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems. Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. IEEE. https://doi.org/10.1109/IPDPS.2005.380
    PUB | DOI
     
  • [165]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286284
    Grosseschallau, M., Witkowski, U., & Rückert, U. (2005). Low-cost Bluetooth Communication for the Autonomous Mobile Minirobot Khepera. Robotics and Automation, 2005. ICRA 2005. Proceedings of the 2005 IEEE International Conference on, 4194-4199. IEEE. https://doi.org/10.1109/ROBOT.2005.1570764
    PUB | DOI
     
  • [164]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309
    Niemann, J. - G., Porrmann, M., & Rückert, U. (2005). A scalable parallel SoC architecture for network processors. VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on, 311-313. IEEE. https://doi.org/10.1109/ISVLSI.2005.13
    PUB | DOI
     
  • [163]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288768
    Grünewald, M., Xu, F., & Rückert, U. (2004). Power Control in Directional Mobile Ad Hoc Networks. VDE Kongress – ITG Fachtagung 'Ambient Intelligence', 169-174
    PUB
     
  • [162]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288782
    Witkowski, U., & Rückert, U. (2004). Aktives Nachtsichtsystem für autonome mobile Roboter. VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'., 1
    PUB
     
  • [161]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286376
    Beiu, V., Rückert, U., Roy, S., & Nyathi, J. (2004). On nanoelectronic architectural challenges and solutions. Nanotechnology, 2004. 4th IEEE Conference on, 628-631. IEEE. https://doi.org/10.1109/NANO.2004.1392441
    PUB | DOI
     
  • [160]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912
    Vonnahme, E., Griese, G., Porrmann, M., & Rückert, U. (2004). Dynamic Reconfiguration of Real-Time Network Interfaces. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 376-379). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.29
    PUB | DOI
     
  • [159]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285962
    Witkowski, U., & Rückert, U. (2004). Pattern synchronization for associative memory in pulse coded neural networks. Circuits and Systems, 2004. MWSCAS '04. The 2004 47th Midwest Symposium on, 2, II-381-II-384. IEEE. https://doi.org/10.1109/MWSCAS.2004.1354173
    PUB | DOI
     
  • [158]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708
    Kalte, H., Porrmann, M., & Rückert, U. (2004). Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer Hardware. ARCS 2004 – Organic and Pervasive Computing, 234-244.
    PUB
     
  • [157]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760
    Vonnahme, E., Griese, B., Porrmann, M., & Rückert, U. (2004). Dynamische Rekonfiguration echtzeitfähiger Netzwerkschnittstellen. VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence', 99-104. Berlin, Germany: VDE Verlag.
    PUB
     
  • [156]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288796
    Minchev, Z., Manolov, O., Noykov, S., Witkowski, U., & Rückert, U. (2004). Fuzzy Logic Based Intelligent Motion Control of Robots Swarm Simulated by Khepera Robots. In IEEE Instrumentation and Measurement Society (Ed.), IEEE International Conference on Intelligent Systems (pp. 305-310). Piscataway, NJ: IEEE. https://doi.org/10.1109/IS.2004.1344687
    PUB | DOI
     
  • [155]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101
    Grunewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). A mapping strategy for resource-efficient network processing on multiprocessor SoCs. In European Design Automation Association (Ed.), Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings (Vol. 2, pp. 758-763). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/DATE.2004.1268970
    PUB | DOI
     
  • [154]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233
    Kalte, H., Lee, G., Porrmann, M., & Rückert, U. (2004). Study on column wise design compaction for reconfigurable systems. In IEEE Electron Devices Society & School of Information Technology and Electrical Engineering (Eds.), Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on (pp. 413-416). Piscataway, NJ: IEEE. https://doi.org/10.1109/FPT.2004.1393313
    PUB | DOI
     
  • [153]
    2004 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145314
    Iske, B., Jäger, B., & Rückert, U. (2004). A Ray-Tracing Approach for Simulating Recognition Abilities of Active Infrared Sensor Arrays. IEEE Sensors Journal, 4(2), 237-247. https://doi.org/10.1109/ICSENS.2002.1037291
    PUB | DOI
     
  • [152]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288714 OA
    Brinkmann, A., Heidebuer, M., Meyer auf der Heide, F., Rückert, U., Salzwedel, K., & Vodisek, M. (2004). V:Drive – Costs and Benefits of an Out-of-Band Storage Virtualization System. Proceedings of the 12th NASA Goddard, 21st IEEE Conference on Mass Storage Systems and Technologies (MSST), 153-157. College Park, Maryland, USA.
    PUB | PDF
     
  • [151]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776
    Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). Parallele Architekturen für Netzwerkprozessoren. Ambient Intelligence, VDE Kongress, 1, 105-110. VDE Verlag.
    PUB | Download (ext.)
     
  • [150]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288788
    Chinapirom, T., Kaulmann, T., Witkowski, U., & Rückert, U. (2004). Visual Object Recognition by 2D-Color Camera and On-Board Information Processing for Minirobots. Proceedings of the FIRA Robot World Congress Busan, South Korea.
    PUB
     
  • [149]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730
    Kalte, H., Koester, M., Kettelhoit, B., Porrmann, M., & Rückert, U. (2004). A Comparative Study on System Approaches for Partially Reconfigurable Architectures. In T. Plaks (Ed.), Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04) (pp. 70-76). Las Vegas, Nevada, USA: CSREA Press.
    PUB
     
  • [148]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742
    Griese, B., Vonnahme, E., Porrmann, M., & Rückert, U. (2004). Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures. In J. Becker (Ed.), Lecture notes in computer science: Vol. 3203. Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004) (pp. 842-846). Antwerp, Belgium: Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-540-30117-2_86
    PUB | DOI
     
  • [147]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146
    Grunewald, M., Le, D. K., Kastens, U., Niemann, J. - C., Porrmann, M., Rückert, U., Slowik, A., et al. (2004). Network application driven instruction set extensions for embedded processing clusters. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 209-214). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.45
    PUB | DOI
     
  • [146]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700
    Grünewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. Proceedings of the 3rd Workshop on Network Processors & Applications, 87-101. Madrid, Spain.
    PUB
     
  • [145]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288750
    Witkowski, U., Chinapirom, T., Du, J. L., Rückert, U., & Manolov, O. (2004). Cooperating autonomous and mobile minirobots in dynamic environments. International Federation of Automatic Control – IFAC – DECOM-TT Bansko, Bulgaria.
    PUB
     
  • [144]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942
    Franzmeier, M., Pohl, C., Porrmann, M., & Rückert, U. (2004). Hardware Accelerated Data Analysis. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 309-314). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.36
    PUB | DOI
     
  • [143]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356
    Kalte, H., Porrmann, M., & Rückert, U. (2004). System-on-programmable-chip approach enabling online fine-grained 1D-placement. Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International, 141. IEEE. https://doi.org/10.1109/IPDPS.2004.1303118
    PUB | DOI
     
  • [142]
    2004 | Report | PUB-ID: 2285779
    Rückert, U. (2004). Abschlußbericht zum BMBF-Projekt: GigaNet-IC: Netzwerktechnik der nächsten Generation. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [141]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286044
    Loeser, C., Brinkmann, A., & Rückert, U. (2004). Distributed path selection (DPS) a traffic engineering protocol for IP-networks. System Sciences, 2004. Proceedings of the 37th Annual Hawaii International Conference on, 8. IEEE. https://doi.org/10.1109/HICSS.2004.1265481
    PUB | DOI
     
  • [140]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138
    Pohl, C., Franzmeier, M., Porrmann, M., & Rückert, U. (2004). gNBX - reconfigurable hardware acceleration of self-organizing maps. In IEEE Electron Devices Society & School of Information Technology and Electrical Engineering (Eds.), Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on (pp. 97-104). Piscataway, NJ: IEEE. https://doi.org/10.1109/FPT.2004.1393256
    PUB | DOI
     
  • [139]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288617
    Witkowski, U., Bandyk, M., & Rückert, U. (2003). Long-running Experiments using the Minirobot Khepera with Automatic Charging Station. Proc. of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment AMiRE03, 249-252
    PUB
     
  • [138]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288631
    Iske, B., Schlößer, S., & Rückert, U. (2003). Resolution Analysis of Infrared Sensor Arrays. Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment (AMiRE), 153-162
    PUB
     
  • [137]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288667 OA
    Grünewald, M., Iske, B., Klahold, J., Manolov, O., Orhan, O., Rückert, U., & Witkowski, U. (2003). Communication Between Khepera Mini Robots For Cooperative Positioning. Proceedings of the International Conference Automatics and Informatics’03, 1, 95-98. Sofia, Bulgaria.
    PUB | PDF
     
  • [136]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288681 OA
    Manolov, O., Iske, B., Noykov, S., Klahold, J., Georgiev, G., Witkowski, U., & Rückert, U. (2003). Gard – An Intelligent System for Distributed Exploration of Landmine Fields Simulated by a Team of Khepera Robots. Proceedings of the International Conference Automatics and Informatics’03, 1, 199-202. Sofia, Bulgaria.
    PUB | PDF
     
  • [135]
    2003 | Konferenzband | Veröffentlicht | PUB-ID: 2285753
    Rückert U., Sitte J., & Witkowski U. (Eds.) (2003). Autonomous Minirobots for Research and Edutainment. AMIRE Int. Conf.
    PUB
     
  • [134]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288645 OA
    Grünewald, M., & Rückert, U. (2003). A Khepera communication module supporting directed power-variable transmission. Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment, 243-244. Brisbane, Australia.
    PUB | PDF
     
  • [133]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024
    Bonorden, O., Bruls, N., Kastens, U., Le, D. K., Heide auf der, F. M., Niemann, J. - C., Porrmann, M., et al. (2003). A holistic methodology for network processor design. Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on, 583-592. IEEE. https://doi.org/10.1109/LCN.2003.1243185
    PUB | DOI
     
  • [132]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285956
    Grunewald, M., Niemann, J. - C., & Rückert, U. (2003). A performance evaluation method for optimizing embedded applications. System-on-Chip for Real-Time Applications, 2003. Proceedings. The 3rd IEEE International Workshop on, 10-15. IEEE Comput. Soc. https://doi.org/10.1109/IWSOC.2003.1212997
    PUB | DOI
     
  • [131]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288625
    Klahold, J., Jürgens, H., & Rückert, U. (2003). Neural Object Classification Using Ultrasonic Spectrum Analysis. Proceedings of the 2nd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 219-228. Brisbane, Australia.
    PUB
     
  • [130]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288637
    Grünewald, M., Rückert, U., Schindelhauer, C., & Volbert, K. (2003). Directed power-variable infrared communication for the mini robot Khepera. Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment, 113-122. Brisbane, Australia.
    PUB
     
  • [129]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288649 OA
    Brinkmann, A., Meyer auf der Heide, F., Salzwedel, K., Scheideler, C., Vodisek, M., & Rückert, U. (2003). Storage Management as Means to cope with Exponential Information Growth. Proceedings of SSGRR 2003 L'Aquila, Italy.
    PUB | PDF
     
  • [128]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288665
    Rückert, U. (2003). Mediatronics – Things That Communicate And Cooperate. Proceedings of the International Conference Automatics and Informatics’03, 1, 9-12
    PUB
     
  • [127]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288661
    Witkowski, U., & Rückert, U. (2003). Development and Incorporation of Elementary Soccer Strategies for the Khepera Mini Robot. Proc. of the FIRA Robot World Congress 2003 Vienna, Austria.
    PUB
     
  • [126]
    2003 | Monographie | Veröffentlicht | PUB-ID: 2285644
    Witkowski, U., & Rückert, U. (2003). Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps (Intelligent Robots: Vision, Learning and Interaction). KAIST Press.
    PUB
     
  • [125]
    2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324
    Porrmann, M., Witkowski, U., & Rückert, U. (2003). A Massively Parallel Architecture for Self-Organizing Feature Maps. IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations, 14(5), 1110-1121. https://doi.org/10.1109/TNN.2003.816368
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [124]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288585
    Witkowski, U., & Rückert, U. (2002). Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps. Proceedings of 2002 FIRA Robot World Congress, 463-468
    PUB
     
  • [123]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288597
    Iske, B., Löffler, A., & Rückert, U. (2002). A Direction Sensitive Network Based on a Biophysical Neurone Model. In J. R. Dorronsoro (Ed.), Lecture notes in computer science: Vol. 2415. Artificial Neural Networks- ICANN 2002 (pp. 153-159). Madrid, Spain: Springer-Verlag. https://doi.org/10.1007/3-540-46084-5_26
    PUB | DOI
     
  • [122]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286112
    Klahold, J., Rautenberg, J., & Rückert, U. (2002). Continuous sonar sensing for mobile mini-robots. Robotics and Automation, 2002. Proceedings. ICRA '02. IEEE International Conference on, 1, 323-328. Piscataway, NJ: IEEE. https://doi.org/10.1109/ROBOT.2002.1013381
    PUB | DOI
     
  • [121]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286093
    Iske, B., Jager, B., & Rückert, U. (2002). A ray-tracing approach for simulating recognition abilities of active infrared sensor arrays. In Sensors Council (Ed.), Sensors, 2002. Proceedings of IEEE (Vol. 2, pp. 1227-1232). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICSENS.2002.1037291
    PUB | DOI
     
  • [120]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286322
    Kalte, H., Langen, D., Vonnahme, E., Brinkmann, A., & Rückert, U. (2002). Dynamically reconfigurable system-on-programmable-chip. Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on, 235-242. IEEE Comput. Soc. https://doi.org/10.1109/EMPDP.2002.994277
    PUB | DOI
     
  • [119]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575
    Kalte, H., Porrmann, M., & Rückert, U. (2002). A Prototyping Platform for Dynamically Reconfigurable System on Chip Designs. Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC) Hamburg, Germany.
    PUB
     
  • [118]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145340
    Heittmann, A., & Rückert, U. (2002). Mixed Mode VLSI Implementation of a Neural Associative Memory. Analog Integrated Circuits and Signal Processing, 30, 159-172. https://doi.org/10.1109/MN.1999.758878
    PUB | DOI
     
  • [117]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288581
    Rückert, U., & Schmidt, M. (2002). Neural Associative Memory For Content-Based Information Retrieval. Proceedings of the First International Conference on Intelligent Computing and Information Systems, ICICIS, 2-32. Cairo, Egypt.
    PUB
     
  • [116]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603 OA
    Porrmann, M., Franzmeier, M., Kalte, H., Witkowski, U., & Rückert, U. (2002). A Reconfigurable SOM Hardware Accelerator. Presented at the
    PUB | PDF
     
  • [115]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286057
    Langen, D., & Rückert, U. (2002). Extending scaling theory by adequately considering velocity saturation. ASIC/SOC Conference, 2002. 15th Annual IEEE International, 145-149. IEEE. https://doi.org/10.1109/ASIC.2002.1158046
    PUB | DOI
     
  • [114]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565 OA
    Langen, D., Niemann, J. - C., Porrmann, M., Kalte, H., & Rückert, U. (2002). Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC Implementation. Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC) Hamburg, Germany.
    PUB | PDF
     
  • [113]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589
    Porrmann, M., Witkowski, U., Kalte, H., & Rückert, U. (2002). Dynamically Reconfigurable Hardware – A New Perspective for Neural Network Implementations. In M. Glesner (Ed.), Lecture notes in computer science: Vol. 2438. Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002) (pp. 1048-1057). Montpellier, France: Springer Berlin Heidelberg. https://doi.org/10.1007/3-540-46117-5_107
    PUB | DOI
     
  • [112]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285618
    Rückert, U. (2002). ULSI Architectures for Artificial Neural Networks. IEEE Micro, 22(3), 10-19. https://doi.org/10.1109/MM.2002.1013300
    PUB | DOI | WoS
     
  • [111]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285620 OA
    Schäfer, M., Schönauer, T., Wolff, C., Hartmann, G., Klar, H., & Rückert, U. (2002). Simulation of Spiking Neural Networks – Architectures and Implementations. Neurocomputing, 48(1-4), 647-679.
    PUB | PDF
     
  • [110]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896
    Porrmann, M., Witkowski, U., Kalte, H., & Rückert, U. (2002). Implementation of artificial neural networks on a reconfigurable hardware accelerator. Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on, 243-250. IEEE Comput. Soc. https://doi.org/10.1109/EMPDP.2002.994279
    PUB | DOI
     
  • [109]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966
    Brinkmann, A., Niemann, J. - C., Hehemann, I., Langen, D., Porrmann, M., & Rückert, U. (2002). On-chip interconnects for next generation system-on-chips. ASIC/SOC Conference, 2002. 15th Annual IEEE International, 211-215. IEEE. https://doi.org/10.1109/ASIC.2002.1158058
    PUB | DOI
     
  • [108]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288504 OA
    Klahold, J., Rautenberg, J., & Rückert, U. (2001). Ultrasonic Sensor for Mobile Mini-Robots Using Pseudo-Random Codes. In U. Rückert, J. Sitte, & U. Witkowski (Eds.), Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01) (Vol. 97, pp. 225-232). Heinz Nixdorf Institut, Universität Paderborn.
    PUB | PDF
     
  • [107]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288467
    Iske, B., & Rückert, U. (2001). Performance Analysis of a Colony of Locally Communicating Robots. Autonomous Mini Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium, 253-260.
    PUB
     
  • [106]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549
    Porrmann, M., Rüping, S., & Rückert, U. (2001). The Impact of Communication on Hardware Accelerators for Neural Networks. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), 3, 248-253. Orlando, Florida, USA.
    PUB
     
  • [105]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288492
    Klahold, J., Rautenberg, J., & Rückert, U. (2001). Demonstration of an Ultrasonic Sensor for Mobile Minirobots Using Pseudo-Random Codes. In U. Rückert, J. Sitte, & U. Witkowski (Eds.), Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01) (Vol. 97, pp. 335-336). Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [104]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555 OA
    Niemann, J. - C., Witkowski, U., Porrmann, M., & Rückert, U. (2001). Extension Module for Application-Specific Hardware on the Minirobot Khepera. Autonomous Minirobots for Research and Edutainment (AMiRE 2001), 279-288. Paderborn, Germany.
    PUB | PDF
     
  • [103]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288451
    Witkowski, U., Heittmann, A., & Rückert, U. (2001). Hardware Implementation of Self-Organizing Maps and Associative Memory on the Minirobot Khepera. Autonomous Minirobots for Research and Edutainment – AMiRE 2001, 269-278
    PUB
     
  • [102]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288463
    Iske, B., & Rückert, U. (2001). Cooperative Cube Clustering using Local Communication. Autonomous Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium, 333-334
    PUB
     
  • [101]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288475 OA
    Löffler, A., Klahold, J., & Rückert, U. (2001). The Mini-Robot Khepera as a Foraging Animate: Synthesis and Analysis of Behaviour. In U. Rückert, J. Sitte, & U. Witkowski (Eds.), Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01) (Vol. 97, pp. 93-130). Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn.
    PUB | PDF
     
  • [100]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526
    Porrmann, M., Rückert, U., Landmann, J., & Marks, K. M. (2001). XipChip – A Multiprocessor CPU for Multifunction Peripherals. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), 15, 512-517
    PUB
     
  • [99]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288457
    Hunstock, R., Rückert, U., & Hanna, T. (2001). Implementation and Analysis of Mobile Agents in a Simulation Environment for Fieldbus Systems. Proccedings of the 2001 International Conference on Intelligent Agent Technology (IAT-01), 484-489. Maebashi, Japan.
    PUB | Download (ext.)
     
  • [98]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539
    Porrmann, M., Kalte, H., Witkowski, U., Niemann, J. - C., & Rückert, U. (2001). A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature Maps. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001, 3, 242-247. Orlando, Florida, USA.
    PUB
     
  • [97]
    2001 | Konferenzband | Veröffentlicht | PUB-ID: 2285736
    Rückert U., Sitte J., & Witkowski U. (Eds.) (2001). Autonomous Minirobots for Research and Edutainment (97). Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [96]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285818
    Iske, B., & Rückert, U. (2001). A methodology for behaviour design of autonomous systems. Intelligent Robots and Systems, 2001. Proceedings. 2001 IEEE/RSJ International Conference on, 1, 539-544. Piscataway, NJ: IEEE. https://doi.org/10.1109/IROS.2001.973412
    PUB | DOI
     
  • [95]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285832
    Rückert, U. (2001). ULSI architectures for artificial neural networks. Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on, 22, 436-442. Institute of Electrical and Electronics Engineers (IEEE). https://doi.org/10.1109/MM.2002.1013300
    PUB | DOI | WoS
     
  • [94]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285870
    Schmidt, M., & Rückert, U. (2001). Content-based information retrieval using an embedded neural associative memory. Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on, 443-450. IEEE Comput. Soc. https://doi.org/10.1109/EMPDP.2001.905073
    PUB | DOI
     
  • [93]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286162
    Vonnahme, E., Ruping, S., & Rückert, U. (2000). Measurements in switched Ethernet networks used for automation systems. Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on, 231-238. IEEE. https://doi.org/10.1109/WFCS.2000.882554
    PUB | DOI
     
  • [92]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286256
    Iske, B., Rückert, U., Malmstrom, K., & Sitte, J. (2000). A bootstrapping method for autonomous and in site learning of generic navigation behaviour. Pattern Recognition, 2000. Proceedings. 15th International Conference on, 4, 656-659. IEEE Comput. Soc. https://doi.org/10.1109/ICPR.2000.903003
    PUB | DOI
     
  • [91]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566
    Kalte, H., Porrmann, M., & Rückert, U. (2000). Rapid Prototyping System für dynamisch rekonfigurierbare Hardwarestrukturen. Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000), 149-157. Karlsruhe, Germany.
    PUB
     
  • [90]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286578
    Brinkmann, A., Langen, D., & Rückert, U. (2000). A Rapid Prototyping Environment for Microprocessor based System-on-Chips and its Application to the Development of a Network Processor. Proceedings of the 10th International Conference on Field Programmable Logic and Applications (FPL 2000), 838-841. Villach, Austria: Springer Berlin Heidelberg. https://doi.org/10.1007/3-540-44614-1_98
    PUB | DOI
     
  • [89]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285890
    Hunstock, R., Ruping, S., & Rückert, U. (2000). A distributed simulator for large networks used in building automation systems. Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on, 203-210. IEEE. https://doi.org/10.1109/WFCS.2000.882551
    PUB | DOI
     
  • [88]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286590 OA
    Brinkmann, A., Langen, D., & Rückert, U. (2000). Aktive Router: Ein Hardwarekonzept für Storage Area Networks. Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik, 41-46. Darmstadt, Germany.
    PUB | PDF
     
  • [87]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286370
    Langen, D., Brinkmann, A., & Rückert, U. (2000). High level estimation of the area and power consumption of on-chip interconnects. ASIC/SOC Conference, 2000. Proceedings. 13th Annual IEEE International, 297-301. IEEE. https://doi.org/10.1109/ASIC.2000.880753
    PUB | DOI
     
  • [86]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572
    Kalte, H., Porrmann, M., & Rückert, U. (2000). Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D Graphics. Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000), 5, 2819-2824
    PUB
     
  • [85]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286584 OA
    Langen, D., Brinkmann, A., & Rückert, U. (2000). Abschätzung des Flächen- und Energieverbrauchs von Verbindungsstrukturen auf einem Chip. Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik, 247-252. Darmstadt, Germany.
    PUB | PDF
     
  • [84]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286554
    Klahold, J., Löffler, A., & Rückert, U. (1999). Discrete Ultrasonic Sensors for Mobile Autonomous Systems. In A. Löffler, F. Mondada, & U. Rückert (Eds.), Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99) (Vol. 64, pp. 171-180). Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [83]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285828
    Heittmann, A., & Rückert, U. (1999). Mixed mode VLSI implementation of a neural associative memory. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 299-306. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758878
    PUB | DOI
     
  • [82]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315
    Porrmann, M., Ruping, S., & Rückert, U. (1999). SOM hardware with acceleration module for graphical representation of the learning process. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 380-386. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758890
    PUB | DOI
     
  • [81]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286512
    Witkowski, U., Heittmann, A., & Rückert, U. (1999). Implementation of Application Specific Neural Hardware on the Mini Robot Khepera. Proceedings of the 1st International Khepera Workshop, 64, 189-196. Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [80]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286127
    Witkowski, U., Neumann, T., & Rückert, U. (1999). Digital hardware realization of a hyper basis function network for on-line learning. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 205-211. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758865
    PUB | DOI
     
  • [79]
    1999 | Report | PUB-ID: 2285763
    Grotstollen, H., Schütte, F., Rückert, U., & Witkowski, U. (1999). Lernfähige, selbsteinstellende Antriebsregelung mit Hilfe neuronaler Hardware (Projekt GR 948/14 im DFG Programm: Systemintegration elektrischer Antrieb).
    PUB
     
  • [78]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285822
    Korner, T., Sitte, J., & Rückert, U. (1999). An analog local cluster neural net for a 3 V supply. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 292-298. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758877
    PUB | DOI
     
  • [77]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285834
    Wolff, C., Hartmann, G., & Rückert, U. (1999). ParSPIKE-a parallel DSP-accelerator for dynamic simulation of large spiking neural networks. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 324-331. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758882
    PUB | DOI
     
  • [76]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286344
    Loffler, A., Klahold, J., & Rückert, U. (1999). Artificial neural networks for autonomous robot control: reflective navigation and adaptive sensor calibration. Neural Information Processing, 1999. Proceedings. ICONIP '99. 6th International Conference on, 2, 667-672. IEEE. https://doi.org/10.1109/ICONIP.1999.845675
    PUB | DOI
     
  • [75]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286546
    Löffler, A., Klahold, J., Hußmann, M., & Rückert, U. (1999). Demonstration of a Visualization Tool for the Mini-Robot Khepera. Web publication of the 5th International European Conference on Artificial Life (ECAL)
    PUB
     
  • [74]
    1999 | Konferenzband | Veröffentlicht | PUB-ID: 2285604
    Löffler A., Mondada F., & Rückert U. (Eds.) (1999). Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (64). Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [73]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285983
    Loffler, A., Klahold, J., Heittmann, A., Witkowski, U., & Rückert, U. (1999). Implementing Neural Soft- And Hardware On The Autonomous Mini-robot Khepera. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 425-426. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758896
    PUB | DOI
     
  • [72]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286518
    Rüping, S., Löffler, A., Odenbach, C., & Rückert, U. (1999). Khepera Module for Wireless Infrared CAN Communication. In A. Löffler, F. Mondada, & U. Rückert (Eds.), Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99) (Vol. 64, pp. 181-187). Paderborn, Germany.
    PUB
     
  • [71]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286532 OA
    Löffler, A., Klahold, J., Hußmann, M., & Rückert, U. (1999). A Visualization Tool for the Mini-Robot Khepera: Behaviour Analysis and Optimization. In D. Floreano, J. - D. Nicoud, & F. Mondada (Eds.), Proceedings of the 5th International European Conference on Artificial Life (ECAL99) (Vol. 1674, pp. 329-333). Lausanne, Switzerland: Springer-Verlag.
    PUB | PDF
     
  • [70]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468
    Porrmann, M., Heittmann, A., Rüping, S., & Rückert, U. (1998). A Hybrid Knowledge Processing System. Proceedings of the Conference Neural Networks and their Applications (NEURAP), 177-184
    PUB
     
  • [69]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286502
    Rückert, U., & Witkowski, U. (1998). Silicon Artificial Neural Networks. In L. Niklasson, M. Bodén, & T. Ziemke (Eds.), Proceedings of the Conference on Artificial Neural Networks, ICANN´98 (pp. 75-84). Skövde, Sweden: Springer-Verlag.
    PUB
     
  • [68]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285592
    Rüping, S., Porrmann, M., & Rückert, U. (1998). SOM Accelerator System. Neurocomputing, 21, 31-50.
    PUB | Download (ext.)
     
  • [67]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285586
    Rückert, U. (1998). Hardware-Realisierung neuronaler Netze. In O. Haan (Ed.), Göttinger Informatik Kolloquium – Vorträge aus den Jahren 1996/97 (pp. 37-54). Göttingen, Germany.
    PUB
     
  • [66]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285598
    Sitte, J., Körner, T., & Rückert, U. (1998). Local Cluster Neural Net: Analog VLSI Design. Neurocomputing, 19, 185-197.
    PUB
     
  • [65]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286476
    Fuchs, B., Vogel, S., Schröder, D., Paul, R., & Rückert, U. (1998). Autonome EKG-Elektrode zur kabellosen Patientenüberwachung. Mikroelektronik für die Informationstechnik(147), 137-140
    PUB
     
  • [64]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286455
    Rüping, S., Lücking, W., & Rückert, U. (1998). A Wireless Communication System for Khepera Micro-Robots using CAN. Proceedings of the European Telemetry Conference (ETC98), 100-108
    PUB
     
  • [63]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286486 OA
    Löffler, A., Klahold, J., & Rückert, U. (1998). The Dynamical Nightwatch's Problem Solved by the Autonomous Micro-Robot Khepera. In J. - K. Hao, E. Lutton, E. Ronald, M. Schoenauer, & D. Snyers (Eds.), Selected Papers of the 3rd European Conference on Artificial Evolution (AE97) (Vol. 1363, pp. 303-313). Nimes, France: Springer-Verlag.
    PUB | PDF
     
  • [62]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286390
    Schütte, F., Beineke, S., Grotstollen, H., Witkowski, U., Rückert, U., & Rüping, S. (1997). Structure- and Parameter Identification for a Two-Mass-System With Backlash and Friction Using a Self-Organizing Map. 7th European Conference on Power Electronics and Applications, EPE97, 3, 3358-3363. Trondheim, Norway.
    PUB
     
  • [61]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286408
    Körner, T., Hartmann, T., Rückert, U., & Sitte, J. (1997). An Analog Current Mode VLSI Local Cluster Neural Net. In H. Klar, A. König, & U. Ramacher (Eds.), Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems (pp. 257-262). Dresden, Germany: IEEE. https://doi.org/10.1109/ETFA.1997.616275
    PUB | DOI
     
  • [60]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286422
    Körner, T., Geldreich, S., Rückert, U., Kasper, K., Reininger, H., & Wüst, H. (1997). Implementation of a Locally Recurrent Neural Network for Speech Recognition. In H. Klar, A. König, & U. Ramacher (Eds.), Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems (pp. 50-55). Dresden, Germany.
    PUB
     
  • [59]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286441
    Heittmann, A., Malin, J., Pintaske, C., & Rückert, U. (1997). Digital VLSI Implementation of a Neural Associative Memory. In H. Klar, A. König, & U. Ramacher (Eds.), Proceedings of the 6th International Conference on Microelectronics for Neural Network, Evolutionary and Fuzzy Systems (pp. 280-285). Dresden, Germany.
    PUB
     
  • [58]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286012
    Witkosski, U., Ruping, S., Rückert, U., Schutte, F., Beineke, S., & Grotstollen, H. (1997). System identification using selforganizing feature maps. Artificial Neural Networks, Fifth International Conference on (Conf. Publ. No. 440), 100-105. IEE. https://doi.org/10.1049/cp:19970709
    PUB | DOI
     
  • [57]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384 OA
    Rüping, S., Porrmann, M., & Rückert, U. (1997). A High Performance SOFM Hardware-System. Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97), 772-781. Lanzarote, Spain.
    PUB | PDF | Download (ext.)
     
  • [56]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285884
    Sitte, J., Korner, T., & Rückert, U. (1997). An analog-current mode local cluster neural net. Emerging Technologies and Factory Automation Proceedings, 1997. ETFA '97., 1997 6th International Conference on, 237-242. IEEE. https://doi.org/10.1109/ETFA.1997.616275
    PUB | DOI
     
  • [55]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402 OA
    Rüping, S., Porrmann, M., & Rückert, U. (1997). SOM Hardware-Accelerator. Workshop on Self-Organizing Maps (WSOM), 136-141. Espoo, Finnland.
    PUB | PDF | Download (ext.)
     
  • [54]
    1997 | Report | Veröffentlicht | PUB-ID: 2285584
    Rückert, U. (1997). Integrationsgerechte parallele Systemkonzepte unter Ausnutzung spärlicher Interaktion am Beispiel neuronaler Netze. Heinz Nixdorf Institut.
    PUB
     
  • [53]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241
    Porrmann, M., Landmann, J., Marks, K. M., & Rückert, U. (1997). HIBRIC-MEM, a Memory Controller for PowerPC Based Systems. Proceedings of the 23rd EUROMICRO Conference, 653-663. Budapest, Ungarn: IEEE Comput. Soc. https://doi.org/10.1109/EURMIC.1997.617396
    PUB | DOI
     
  • [52]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285568
    Rückert, U. (1996). Hardwareimplementierung Neuronaler Netze. Konnektionismus und Neuronale Netze Beiträge zur Herbstschule (HeKoNN96), 53-64.
    PUB
     
  • [51]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285570
    Rüping, S., & Rückert, U. (1996). A Scalable Processor Array for Self-Organizing Feature Maps. Proceedings of the 6th International Conference on Microelectronics for Neural Networks and Fuzzy Systems (MicroNeuro) Lausanne, Switzerland: IEEE Computer Society Press. https://doi.org/10.1109/MNNFS.1996.493804
    PUB | DOI
     
  • [50]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575
    Palm, G., Rückert, U., Porrmann, M., & Schwenker, F. (1996). Neuronale Assoziativspeicher. Neuroinformatik Statusseminar, 419-432.
    PUB
     
  • [49]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285554
    Hartung, M., Goser, K., & Rückert, U. (1996). The associative matrix as a concept for intelligent memory chips. Proceedings of the Conference on Neural Networks and their Applications (NEURAP), 111-116
    PUB
     
  • [48]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285560
    Rüping, S., Rückert, U., Goser, K., & Hartung, M. (1996). Diagnosis-Systems with Selforganizing Feature Maps and Fuzzy-Logic. Proceedings of the Conference on Neural Networks and their Applications (NEURAP), 251-258
    PUB
     
  • [47]
    1995 | Report | Veröffentlicht | PUB-ID: 2285532
    Rückert, U., & Goser, K. (1995). Wissensverarbeitung in neuronaler Architektur. Universität Dortmund und Universität Paderborn.
    PUB
     
  • [46]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285538
    Körner, T., Rückert, U., Geva, S., Malmstrom, K., & Sitte, J. (1995). VLSI friendly neural network with localied transfer functions. Proceedings of the IEEE International Conference on Neural Networks, 1, 169-174. Perth, Australia.
    PUB
     
  • [45]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285536
    Rückert, U. (1995). Hardwareimplementierung Neuronaler Netze. Konnektionismus und Neuronale Netze(272), 147-158
    PUB
     
  • [44]
    1995 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285548
    Rüping, S., Goser, K., & Rückert, U. (1995). A Chip for Selforganizing Feature Maps. IEEE Micro, 15(3), 57-59. https://doi.org/10.1109/ICMNN.1994.593155
    PUB | DOI
     
  • [43]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285498
    Rüping, S., Rückert, U., & Goser, K. (1994). A Chip for Selforganizing Feature Maps. Proceedings of the 4th International Conference on Microelectronics for Neural Networks and Fuzzy Systems, 26-33. IEEE Computer Society Press. https://doi.org/10.1109/ICMNN.1994.593155
    PUB | DOI
     
  • [42]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285507
    Rückert, U. (1994). Hardwareimplementierung Neuronaler Netze. Konnektionismus und Neuronale Netze, 117-128.
    PUB
     
  • [41]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285521 OA
    Rückert, U., Rüping, S., & Naroska, E. (1994). Parallel Implementation of Neural Associative Memories on RISC Processors. In J. G. Delgado-Frias & W. R. Moore (Eds.), VLSI for Neural Networks and Artificial Intelligence (pp. 167-176). New York: Plenum Press.
    PUB | PDF
     
  • [40]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285504
    Rückert, U. (1994). A Hybrid Knowledge Processing Architecture. Proceedings of the 2nd IEE International Conference on Intelligent Systems Engineering, 372-377. Norwich, UK: IEE. https://doi.org/10.1049/cp:19940653
    PUB | DOI
     
  • [39]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285509
    Palm, G., Goser, K., Rückert, U., & Ultsch, A. (1994). Knowledge Processing in Neural Architecture. In J. G. Delgado-Frias & W. R. Moore (Eds.), VLSI for Neural Networks and Artificial Intelligence (pp. 207-216). New York: Plenum Press.
    PUB
     
  • [38]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285462
    Rückert, U. (1993). Microelectronic Implementation of Neural Networks. Aachener Beiträge zur Informatik, 3, 77-86.
    PUB
     
  • [37]
    1993 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285486
    Rückert, U., Funke, A., & Pintaske, C. (1993). Acceleratorboard for Neural Associative Memories. Neurocomputing, 5, 39-49.
    PUB | Download (ext.)
     
  • [36]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285478
    Rückert, U., Spaanenburg, L., & Anlauf, J. (1993). Hardware-Implementierung Künstlicher Neuronaler Netze. atp – Automatisierungstechnische Praxis(35), 414-420
    PUB
     
  • [35]
    1993 | Patent | Veröffentlicht | PUB-ID: 2285492
    Marks, K. M., Rückert, U., & Staudt von, H. - M. (1993). Verfahren und Einrichtung zur fehlercodierenden Datenübertragung
    PUB
     
  • [34]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285464 OA
    Rüping, S., Rückert, U., & Goser, K. (1993). Hardware Design for Selforganizing Feature Maps with Binary Inputs. In J. Mira, J. Cabestany, & A. Prieto (Eds.), New Trends in Neural Computation (Vol. 686, pp. 488-493). Berlin, Germany: Springer-Verlag.
    PUB | PDF | Download (ext.)
     
  • [33]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285425
    Rückert, U., & Goser, K. (1992). VLSI-Bausteine für neuronale Assoziativ-speicher mit Echtzeit-Anwendungen. Mikroelektronik für die Informationstechnik, 189-194. Berlin, Germany: VDE-Verlag.
    PUB
     
  • [32]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285432
    Rückert, U., Heimann, D., Kreuzer, I., Mostardt, M., Pintaske, C., Rüping, S., Surmann, H., et al. (1992). Mikroelektronik der künstlichen neuronalen Netze und der Fuzzy-Systeme. 20 Jahre Fakultät für Elektrotechnik, 71-79. Universität Dortmund, Germany.
    PUB
     
  • [31]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285316
    Schulz, P., & Rückert, U. (1991). Implementierung Neuronaler Assoziativ-speicher auf Transputer-Netzwerken. TOOL91/ RISC91, 745-756. Karlsruhe, Germany.
    PUB
     
  • [30]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285335
    Rückert, U., Czaicki, B., & Heimann, D. (1991). Distributed Simulation of Co-operating Neural Networks a Local Area Computer Network. In U. Ramacher (Ed.), Microelectronics for Neural Networks (pp. 417-422). München, Germany: Kyrill & Method Verlag.
    PUB
     
  • [29]
    1991 | Monographie | Veröffentlicht | PUB-ID: 2280937
    Ramacher, U., & Rückert, U. (1991). VLSI Design of Neural Networks. Boston: Kluwer Academic Publishers.
    PUB
     
  • [28]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285343
    Palm, G., Rückert, U., & Ultsch, A. (1991). Wissensverarbeitung in neuronaler Architektur. In W. Brauer & D. Hernandez (Eds.), Verteilte Künstliche Intelligenz und kooperatives Arbeiten (pp. 508-518). Berlin, Germany: Springer-Verlag.
    PUB | Download (ext.)
     
  • [27]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285398
    Goser, K., Hilleringmann, U., & Rückert, U. (1991). Applications and Implementations of Neural Networks in Microelectronics – Overview and Status. In V. A. Monaco & R. Negrini (Eds.), Advanced Computer Technology, Reliable Sytems and Applications (pp. 531-536). Bologna: IEEE Computer Society Press. https://doi.org/10.1109/CMPEUR.1991.257442
    PUB | DOI
     
  • [26]
    1991 | Konferenzband | Veröffentlicht | PUB-ID: 2285456
    Ramacher U., Rückert U., & Nossek J. A. (Eds.) (1991). Proceedings 2nd International Conference on Microelectronics for Neural Networks. München, Germany: Kyrill & Method Verlag.
    PUB
     
  • [25]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285299
    Rückert, U., Kleerbaum, C., & Goser, K. (1991). Digital VLSI Implementation of an Associative Memory Based on Neural Networks. In J. G. Delgado-Frias & W. R. Moore (Eds.), VLSI for Artificial Intelligence and Neural Networks (pp. 785-794). New York, USA: Plenum Press.
    PUB
     
  • [24]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285390
    Rückert, U., & Surmann, H. (1991). Tolerance of a Binary Associative Memory Towards STUCK-AT-FAULTS. In T. Kohonen (Ed.), Artificial Neural Networks (Vol. 2, pp. 1195-1198). Amsterdam, North-Holland.
    PUB
     
  • [23]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285408
    Soennecken, A., Hilleringmann, U., Rückert, U., & Goser, K. (1991). Analogwertspeicher mit EAROM-Zellen für Neuronale Netze. In A. Kaesser (Ed.), Tagungsband des 5. E.I.S.-Workshops (pp. 371-373). Dresden, Germany.
    PUB
     
  • [22]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285327 OA
    Surmann, H., Kiziloglu, B., Rückert, U., & Goser, K. (1991). Neural Networks for Defect Recognition on Masks and Integrated Circuits: First Result. Proceedings of Neuro-Nimes: Neural Networks and their Applications, 581-591.
    PUB | PDF | Download (ext.)
     
  • [21]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285192
    Rückert, U. (1991). VLSI Design of an Associative Memory based on Distributed Storage of Information. In U. Ramacher & U. Rückert (Eds.), VLSI Design of Neural Networks (pp. 153-168). Boston, USA: Kluwer Academic Publishers.
    PUB
     
  • [20]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285370
    Goser, K., Ungering, A., Surmann, H., Rückert, U., & Schumacher, K. (1991). Hardware für Fuzzy-Controller. Tagungsband des 1. Dortmunder Fuzzy Tag
    PUB
     
  • [19]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285419
    Rückert, U. (1991). An Associative Memory with Neural Architecture and its VLSI Implementation. In V. Milutinovic & B. D. Shriver (Eds.), Proceedings of the Twenty-Fourth Annual Hawaii International Conference on System Sciences (Vol. 1, pp. 212-218). Los Alamitos: IEEE Computer Society Press. https://doi.org/10.1109/HICSS.1991.183888
    PUB | DOI
     
  • [18]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285171
    Rückert, U., Goser, K., & Ramacher, U. (1990). Hybrid VLSI Implementation of an Associative Memory Based on Distributed Storage of Information. In K. Goser, U. Ramacher, & U. Rückert (Eds.), Proceedings of the 1st International Workshop on Microelectronics for Nerual Networks (pp. 196-203). University Dortmund, Germany.
    PUB
     
  • [17]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285188
    Goser, K., & Rückert, U. (1990). Mikroelektronik neuronaler Netze. ITG-Fachbericht, 189-190. Berlin, Germany: VDE-Verlag.
    PUB
     
  • [16]
    1990 | Konferenzband | Veröffentlicht | PUB-ID: 2285450
    Goser K., Ramacher U., & Rückert U. (Eds.) (1990). Proceedings of the 1st International Workshop on Microelectronics for Neural Networks. Dortmund, Germany.
    PUB
     
  • [15]
    1990 | Monographie | Veröffentlicht | PUB-ID: 2280936
    Rückert, U. (1990). Integrationsgerechte Umsetzung von assoziativen Netzwerken mit verteilter Speicherung (Fortschritt-Berichte VDI Reihe 10: Informatik/Kommunikationstechnik, 130). Düsseldorf, Germany: VDI Verlag.
    PUB | Download (ext.)
     
  • [14]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285182
    Rückert, U. (1990). VLSI Implementation of an Associative Memory Based on Distributed Storage of Information. In L. B. Almeida & C. J. Wellekens (Eds.), Neural Networks (Vol. 412, pp. 267-276). Berlin, Germany: Springer-Verlag. https://doi.org/10.1007/3-540-52255-7_46
    PUB | DOI
     
  • [13]
    1990 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280954
    Goser, K., Kreuzer, I., Rückert, U., & Tryby, V. (1990). Chip-Architecturen für künstliche neuronale Netze. Mikroelektronik, 5, 208-211.
    PUB
     
  • [12]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281030
    Rückert, U., & Goser, K. (1989). Ein digital/analoges Assoziativspeicherkonzept basierend auf neuronalen Strukturen. GMD-Studie zum 4. E.I.S.-Workshops, 201-210.
    PUB
     
  • [11]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281064
    Goser, K., Marks, K. M., & Rückert, U. (1989). Selbstorganisierende Parameterkarten zur Prozeßüberwachung und -voraussage. 3. Internationaler GI Kongress: Wissensbasierte Systeme, Informatik-Fachberichte(227), 225-237
    PUB
     
  • [10]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281072
    Rückert, U., Kreuzer, I., & Tryba, V. (1989). Fault-Tolerance Of Associative Memories Based On Neural Networks. Proceedings of the International Conference on Computer Technology, Systems and Applications, 1.52-1.55. Hamburg, Germany: IEEE Comput. Soc. Press. https://doi.org/10.1109/CMPEUR.1989.93343
    PUB | DOI
     
  • [9]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281084
    Rückert, U., & Goser, K. (1989). VLSI-Design of Associative Network. In J. G. Delgado-Frias & W. R. Moore (Eds.), VLSI for Artificial Intelligence (pp. 227-235). Boston: Kluwer Academic Publishers.
    PUB
     
  • [8]
    1989 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280945
    Goser, K., Hilleringmann, U., Rückert, U., & Schumacher, K. (1989). VLSI Technologies for Artificial Neural Networks. IEEE Micro, 9(6), 28-44. https://doi.org/10.1109/40.42985
    PUB | DOI | WoS
     
  • [7]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281009
    Tryba, V., Marks, K. M., Rückert, U., & Goser, K. (1988). Selbstorganisierende Karten als lernende klassifizierende Speicher. ITG-Fachbericht, 407-419. Berlin, Germany: VDE-Verlag.
    PUB
     
  • [6]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281022
    Rückert, U., & Goser, K. (1988). VLSI-Architectures for Associative Networks. Proceedings of the IEEE International Symposium on Circuits and Systems, 1, 755-758. Helsinki, Finland: IEEE. https://doi.org/10.1109/ISCAS.1988.15035
    PUB | DOI
     
  • [5]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281048
    Rückert, U., & Goser, K. (1987). Adaptive Associative Systems For VLSI. In J. D. Becker & I. Eisele (Eds.), Lecture Notes in Computer Science: Vol. 253. WOPPLOT 86 Parallel Processing: Logic, Organization, and Technology Proceedings of a Workshop Neubiberg, Federal Republic of Germany, July 2–4, 1986 (pp. 166-184). Berlin, Heidelberg: Springer. https://doi.org/10.1007/3-540-18022-2_12
    PUB | DOI
     
  • [4]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280973
    Rückert, U., Kreuzer, I., & Goser, K. (1987). A VLSI Concept For An Asso-ciative Matrix Based On Neural Networks. In W. E. Proebster & H. Reiner (Eds.), VLSI and Computer (pp. 31-34). Washington, USA: Computer Society Press.
    PUB
     
  • [3]
    1986 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280942
    Goser, K., & Rückert, U. (1986). Künstliche Intelligenz - eine Herausforderung an die Großintegrationstechnik. Nachrichtentechnische Zeitschrift, 11, 748-752.
    PUB
     
  • [2]
    1985 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280900
    Goser, K., & Rückert, U. (1985). Intelligent VLSI-Memories For Robotics. COGNITIVA 85 : De l' intelligence artificielle aux biosciences; Actes du Colloque Scientifique, 425-430. Paris, France: CESTA.
    PUB
     
  • [1]
    1984 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280870
    Goser, K., Fölster, C., & Rückert, U. (1984). Intelligent Memories in VLSI. Information Sciences, 34(1), 61-82. https://doi.org/10.1016/0020-0255(84)90010-0
    PUB | DOI | WoS
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung