224 Publikationen
-
2024 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2993643HLS-Based Large Scale Self-Organizing Feature MapsPUB | PDF | DOI | WoS
Porrmann F, Hagemeyer J, Porrmann M (2024)
IEEE Access 12: 142459-142474. -
2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982048VEDLIoT. Next generation accelerated AIoT systems and applicationsPUB | DOI
Mika K, Griessl R, Kucza N, Porrmann F, Kaiser M, Tigges L, Hagemeyer J, Trancoso P, Azhar MW, Qararyah F, Zouzoula S, et al. (2023)
In: CF '23: Proceedings of the 20th ACM International Conference on Computing Frontiers. New York, NY: ACM: 291-296. -
2022 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2991823ReconfROS: An approach for accelerating ROS nodes on reconfigurable SoCsPUB | DOI
Eisoldt M, Flottmann M, Gaal J, Hinderink S, Vana J, Tassemeier M, Rothmann M, Wiemann T, Porrmann M (2022)
Microprocessors and Microsystems 94: 104655. -
2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR.PUB | PDF | DOI | WoS | PubMed | Europe PMC
Meyer HG, Klimeck D, Paskarbeit J, Rückert U, Egelhaaf M, Porrmann M, Schneider A (2020)
PloS one 15(4). -
2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging MethodsPUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2019)
Sensors 19(3): 616. -
2019 | Datenpublikation | PUB-ID: 2939390Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging MethodsPUB | Dateien verfügbar | DOI
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2019)
Bielefeld University. -
2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920469M2DC – A Novel Heterogeneous Hyperscale Microserver PlatformPUB | DOI
Oleksiak A, Kierzynka M, Porrmann M, Hagemeyer J, Griessl R, Peykanu M, Tigges L (2019)
In: Hardware Accelerators in Data Centers. Kachris C, Falsafi B, Soudris D (Eds); 1st ed. Cham, Switzerland: Springer International Publishing AG: 109-128. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045LEGaTO. First steps towards energy-efficient toolset for heterogeneous computingPUB | DOI
Cristal A, Unsal OS, Martorell X, Carpenter P, De La Cruz R, Bautista L, Jimenez D, Alvarez C, Salami B, Madonar S, Pericàs M, et al. (2018)
In: SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY: ACM: 210-217. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing.PUB | DOI | Download (ext.)
Cristal A, Unsal OS, Martorell X, Carpenter P, De La Cruz R, Bautista L, Jimenez D, Alvarez C, Salami B, Madonar S, Pericàs M, et al. (2018)
In: Proceedings of the 15th ACM International Conference on Computing Frontiers. Kaeli D (Ed); New York, NY: ACM: 276-278. -
2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data MemoriesPUB | PDF | DOI | WoS
Ax J, Sievers G, Daberkow J, Flasskamp M, Vohrmann M, Jungeblut T, Kelly W, Porrmann M, Rückert U (2018)
IEEE Transactions on Parallel and Distributed Systems 29(5): 1030-1043. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448LEGaTO. First steps towards energy-efficient toolset for heterogeneous computingPUB | DOI
Cristal A, Unsal OS, Martorell X, Carpenter P, De La Cruz R, Bautista L, Jimenez D, Alvarez C, Salami B, Madonar S, Pericàs M, et al. (2018)
In: Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. Mudge T (Ed); New York, NY, USA: ACM: 210-217. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision ApplicationsPUB | DOI
Klimeck D, Meyer HG, Hagemeyer J, Porrmann M, Rückert U (2018)
In: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). Piscataway, NJ: IEEE. -
2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging MethodsPUB | PDF | DOI | Download (ext.)
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2018)
In: 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN). Piscataway, NJ: IEEE. -
2018 | Datenpublikation | PUB-ID: 2919795Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''PUB | Dateien verfügbar | DOI
Lian Sang C, Adams M, Hörmann T, Hesse M, Porrmann M, Rückert U (2018)
Bielefeld University. -
2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor SportsPUB | DOI | WoS
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2018)
Journal of Signal Processing Systems 91(7): 703-729. -
2018 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918788Accelerating Hamming Distance Comparisons for Locality Sensitive Hashing (LSH) using FPGAsPUB | PDF
Kaiser M, Pilz S, Porrmann F, Hagemeyer J, Porrmann M (2018)
In: 12th CeBiTec Symposium - Big Data in Medicine and Biotechnology - Abstract Book., 12. Bielefeld: 48-49. -
2018 | Report | Veröffentlicht | PUB-ID: 2918509The Comprehensive MAC Taxonomy Database: comatosePUB | PDF | DOI
Braun LD, Porrmann M (2018) . -
2018 | Konferenzbeitrag | PUB-ID: 2921315Development of Energy Models for Design Space Exploration of Embedded Many-Core SystemsPUB
Klarhorst C, Flasskamp M, Ax J, Jungeblut T, Kelly W, Porrmann M, Rückert U (2018)
Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom. -
2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined RadioPUB | DOI
Sievers G, Hübener B, Ax J, Flasskamp M, Kelly W, Jungeblut T, Porrmann M (2017)
In: Computing Platforms for Software-Defined Radio. Hussain W, Nurmi J, Isoaho J, Garzia F (Eds); Cham, Switzerland: Springer International Publishing: 29--59. -
2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937407The M2DC Approach towards Resource-efficient ComputingPUB | DOI
Agosta G, Barenghi A, Ciesielczyk T, Dutta R, Fornaciari W, Goubier T, Hagemeyer J, Kosmann L, Mainardi N, Oleksiak A, Pelosi G, et al. (2017)
In: OPPORTUNITIES AND CHALLENGES for European Projects. Volume 1: EPS Portugal 2017/2018. Bagnato A, Couceiro R, Monteiro J, Petrovska-Delacrétaz D, Lopes A, Gouveia É (Eds); Setúbal, Portugal: SCITEPRESS: 150-176. -
2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2912818M2DC – Modular Microserver DataCentre with heterogeneous hardwarePUB | DOI | WoS
Oleksiak A, Kierzynka M, Piatek W, Agosta G, Barenghi A, Porrmann M, Hagemeyer J, Griessl R, Lachmair J, Peykanu M, Tigges L, et al. (2017)
Microprocessors and Microsystems 52: 117-130. -
2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815Reconfigurable Vision Processing System for Player Tracking in Indoor SportsPUB | DOI
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2017)
In: Conference on Design and Architectures for Signal and Image Processing (DASIP 2017). Piscataway, NJ: IEEE: 1-6. -
2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430FPGA-based Multi-Robot TrackingPUB | DOI | Download (ext.) | WoS
Irwansyah A, Ibraheem OW, Hagemeyer J, Porrmann M, Rückert U (2017)
Journal of Parallel and Distributed Computing 107: 146-161. -
2017 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918683A Reconfigurable Heterogeneous Microserver Architecture for Energy-efficient ComputingPUB | PDF | Download (ext.)
Kaiser M, Griessl R, Hagemeyer J, Jungewelter D, Porrmann F, Pilz S, Porrmann M, vor dem Berge M, Krupop S (2017)
In: Third International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC'17). Denver, CO. -
2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoCPUB
Ax J, Kucza N, Vohrmann M, Jungeblut T, Porrmann M, Rückert U (Accepted)
In: IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17). -
2017 | Konferenzbeitrag | PUB-ID: 2909584M2DC: Modular Microserver Datacentre with Heterogeneous HardwarePUB
Oleksiak A, Kierzynka M, Piatek W, vor dem Berge M, Christmann W, Krupop S, Porrmann M, Hagemeyer J, Griessl R, Peykanu M, Tigges L, et al. (2017)
Presented at the Energy-efficient Servers for Cloud and Edge Computing 2017 Workshop (ENeSCE 2017) - co-located with HiPEAC 2017, Stockholm, Sweden. -
2017 | Report | PUB-ID: 2913643Survey of FPGA applications in the period 2000 – 2015 (Technical Report)PUB | PDF | DOI
Romoth J, Porrmann M, Rückert U (2017) . -
2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909044From CPU to FPGA – Acceleration of Self-Organizing Maps for Data MiningPUB
Lachmair J, Mieth T, Griessl R, Hagemeyer J, Porrmann M (2017)
In: International Joint Conference on Neural Networks (IJCNN 2017). 4299-4308. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363Performance Estimation of Streaming Applications for Hierarchical MPSoCsPUB | PDF | DOI
Flasskamp M, Sievers G, Ax J, Klarhorst C, Jungeblut T, Kelly W, Thies M, Porrmann M (2016)
In: Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). New York, NY: ACM Press: 1. -
2016 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2908973OLT(RE)²: an On-Line on-demand Testing approach for permanent Radiation Effects in REconfigurable systemsPUB | DOI | WoS
Cozzi D, Korf S, Cassano L, Hagemeyer J, Domenici A, Bernardeschi C, Porrmann M, Sterpone L (2016)
IEEE Transactions on Emerging Topics in Computing PP(99): 1-1. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908974Data centres for IoT applications: The M2DC approach (Invited paper)PUB | DOI
Oleksiak A, Porrmann M, Hagemeyer J, Griessl R, Peykanu M, Tigges L, Christmann W, vor dem Berge M, Krupop S, Cudennec L, Cecowski M, et al. (2016)
In: 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS). IEEE: 293-299. -
2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908980The M2DC Project: Modular Microserver DataCentrePUB | DOI
Cecowski M, Agosta G, Oleksiak A, Kierzynka M, vor dem Berge M, Christmann W, Krupop S, Porrmann M, Hagemeyer J, Griessl R, Peykanu M, et al. (2016)
In: 2016 Euromicro Conference on Digital System Design (DSD). Institute of Electrical and Electronics Engineers (IEEE). -
2016 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2909602FiPS and M2DC: Novel Architectures for Reconfigurable Hyperscale ServersPUB | Download (ext.)
Griessl R, Peykanu M, Tigges L, Hagemeyer J, Porrmann M (2016)
Presented at the Workshop "Reconfigurable Computing — From Embedded Systems to Reconfigurable Hyperscale Servers" co-located with the International Conference on Field-Programmable Logic and Applications (FPL 2016), Lausanne, Switzerland. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142System-Level Analysis of Network Interfaces for Hierarchical MPSoCsPUB | PDF | DOI
Ax J, Sievers G, Flasskamp M, Kelly W, Jungeblut T, Porrmann M (2015)
In: Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). New York, NY, USA: ACM: 3-8. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOIPUB | DOI
Sievers G, Daberkow J, Ax J, Flasskamp M, Kelly W, Jungeblut T, Porrmann M, Rückert U (2015)
In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE: 175-181. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOIPUB | DOI | Download (ext.)
Sievers G, Ax J, Kucza N, Flasskamp M, Jungeblut T, Kelly W, Porrmann M, Rückert U (2015)
In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE: 1925-1928. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107A resource-efficient multi-camera GigE vision IP core for embedded vision processing platformsPUB | DOI
Ibraheem OW, Irwansyah A, Hagemeyer J, Porrmann M, Rückert U (2015)
In: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Hübner M, Gokhale M, Cumplido R (Eds); Piscataway, NJ: IEEE: 1-6. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108FPGA-based circular hough transform with graph clustering for vision-based multi-robot trackingPUB | DOI
Irwansyah A, Ibraheem OW, Hagemeyer J, Porrmann M, Rückert U (2015)
In: 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Hübner M, Gokhale M, Cumplido R (Eds); Piscataway, NJ: IEEE: 1-8. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-TechnologienPUB
Buda A, Walter M, Hartfiel J, Ax J, Nussbaum K, Jungeblut T, Porrmann M (2015)
Presented at the Automation 2015, Baden-Baden. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der LeiterplattenentwicklungPUB
Herbrechtsmeier S, Jungeblut T, Porrmann M (2015)
In: Entwurf mechatronischer Systeme., 343. Paderborn: HNI Verlagsschriftenreihe. -
2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902039FPGA-accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute ClustersPUB | PDF
Griessl R, Peykanu M, Hagemeyer J, Porrmann M, Krupop S, vor dem Berge M, Kosmann L, Knocke P, Kierzynka M, Oleksiak A (2015)
Presented at the First International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC‘15), held in conjunction with Supercomputing 2015, Austin Texas, USA. -
2015 | Konferenzbeitrag | PUB-ID: 2902041A 65 nm Standard Cell Library for Ultra Low-power ApplicationsPUB | DOI
Vohrmann M, Chatterjee S, Lütkemeier S, Jungeblut T, Porrmann M, Rückert U (2015)
Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera InterfacesPUB
Irwansyah A, Ibraheem OW, Klimeck D, Porrmann M, Rückert U (2014)
Presented at the Bildverarbeitung in der Automation (BVAu) 2014, Lemgo, Germany. -
2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732400Development of Self-Optimizing SystemsPUB | DOI
Gausemeier J, Korf S, Porrmann M, Stahl K, Sudmann O, Vaßholz M (2014)
In: Design Methodology for Intelligent Technical Systems. Develop Intelligent Technical Systems of the Future. Gausemeier J, Rammig FJ, Schäfer W (Eds); Lecture Notes in Mechanical Engineering. Berlin Heidelberg: Springer Verlag: 65-117. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681323Reconfigurable High Performance Architectures: How much are they ready for safety-critical applicationsPUB | DOI | Download (ext.)
Sabena D, Sterpone L, Schölzel M, Koal T, Vierhaus HT, Wong S, Glein R, Rittner F, Stender C, Porrmann M, Hagemeyer J (2014)
In: Proceedings of 19th IEEE European Test Symposium (ETS). IEEE: 175-182. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten EchtzeitanforderungenPUB | Download (ext.)
Walter M, Ax J, Buda A, Nussbaum K, Hartfiel J, Jungeblut T, Porrmann M (2014)
Presented at the Kommunikation in der Automation – KommA 2014, Lemgo, Germany. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698999Exploiting Dynamic Partial Reconfiguration for On-Line On-Demand Testing of Permanent Faults in Reconfigurable SystemsPUB | DOI | Download (ext.)
Sorrenti D, Cozzi D, Korf S, Cassano L, Hagemeyer J, Porrmann M, Bernadeschi C (2014)
Presented at the 17th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Amsterdam, The Netherlands. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2699005AXI-based SpaceFibre IP CORE ImplementationPUB | DOI | Download (ext.)
Cozzi D, Jungewelter D, Kleibrink D, Korf S, Hagemeyer J, Porrmann M, Ilstad J (2014)
Presented at the 6th International SpaceWire Conference, Athens, Greece. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929CoreVA: A Configurable Resource-efficient VLIW Processor ArchitecturePUB | DOI
Hübener B, Sievers G, Jungeblut T, Porrmann M, Rückert U (2014)
In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE: 9-16. -
2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698930A Scalable Server Architecture for Next-Generation Heterogeneous Compute ClustersPUB | DOI | Download (ext.)
Griessl R, Peykanu M, Hagemeyer J, Porrmann M, Krupop S, Vor dem Berge M, Kiesel T, Christmann W (2014)
In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, EUC 2014. IEEE: 146-153. -
2014 | Konferenzbeitrag | PUB-ID: 2681362An Inter-Processor Communication Interface for Data-Flow Centric Heterogeneous Embedded Multiprocessor SystemsPUB | DOI | Download (ext.)
Cassano L, Cozzi D, Jungewelter D, Korf S, Hagemeyer J, Porrmann M, Bernadeschi C (2014)
Presented at the DTIS 2014, 9th International conference on Design & Technology of Integrated Systems in Nanoscale Era, Santorini , Greece. -
2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732260Methods of Improving the Dependability of Self-optimizing SystemsPUB | DOI
Seifried A, Trächtler A, Kleinjohann B, Korf S, Porrmann M, Heinzemann C, Rasche C, Sondermann-Woelke C, Priesterjahn C, Steenken D, Rammig F-J, et al. (2014)
In: Dependability of Self-Optimizing Mechatronic Systems. Gausemeier J, Rammig FJ, Schäfer W, Sextro W (Eds); Lecture Notes in Mechanical Engineering. Berlin Heidelberg: Springer Verlag: 37-171. -
2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920470The Paradigm of Self-optimizationPUB | DOI
Dellnitz M, Dumistrescu R, Flasskamp K, Gausemeier J, Korf S, Porrmann M (2014)
In: Design Methodology for Intelligent Technical Systems – Develop Intelligent Technical Systems of the Future. Gausemeier J, Rammig F-J, Schäfer W (Eds); Lecture notes in mechanical engineering. Berlin Heidelberg: Springer: 1-25. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage ControlPUB | DOI | WoS
Lütkemeier S, Jungeblut T, Berge HKO, Aunet S, Porrmann M, Rückert U (2013)
IEEE Journal Of Solid-State Circuits 48(1): 8-19. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische SystemePUB | PDF
Korf S, Sievers G, Ax J, Cozzi D, Jungeblut T, Hagemeyer J, Porrmann M, Rückert U (2013)
In: Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Gausemeier J, Dumitrescu R, Rammig F, Trächtler A (Eds); HNI-Verlagsschriftenreihe, 310. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn: 79-90. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing ApplicationsPUB | DOI
Sievers G, Christ P, Einhaus J, Jungeblut T, Porrmann M, Rückert U (2013)
In: 2013 NORCHIP. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649Pareto-optimal Signal Processing on Low-Power MicroprocessorsPUB | DOI | Download (ext.)
Christ P, Sievers G, Einhaus J, Jungeblut T, Porrmann M, Rückert U (2013)
In: Proceedings of the 12th IEEE International Conference on SENSORS. IEEE: 1843-1846. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2622226A Novel Fault Tolerant and Runtime Reconfigurable Platform for Satellite Payload ProcessingPUB | DOI | WoS
Sterpone L, Porrmann M, Hagemeyer J (2013)
IEEE Transactions on Computers 62(8): 1508-1525. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681289Hardening Dynamically Reconfigurable Processing Modules Architectures: A Neutron Test ExperiencePUB
Desogus M, Sterpone L, Porrmann M, Hagemeyer J, Illstad J (2013)
In: RADECS proceedings., 2. IEEE / Institute of Electrical and Electronics Engineers: 13-16. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681304Dynamic neutron testing of Dynamically Reconfigurable Processing Modules architecturePUB | DOI | Download (ext.)
Sterpone L, Sabena D, Ullah A, Porrmann M, Hagemeyer J, Ilstad J (2013)
In: Adaptive Hardware and Systems (AHS), 2013 NASA/ESA Conference on. IEEE: 184-188. -
2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576042On-Line Testing of Permanent Radiation Effects in Reconfigurable SystemsPUB | DOI
Cassano L, Cozzi D, Korf S, Hagemeyer J, Porrmann M, Sterpone L (2013)
In: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013. Piscataway, NJ: IEEE: 717-720. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded ProcessorsPUB | DOI | Download (ext.) | WoS
Jungeblut T, Hübener B, Porrmann M, Rückert U (2013)
ACM Trans. Embed. Comput. Syst. 13(2): 1-25. -
2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531A reconfigurable neuroprocessor for self-organizing feature mapsPUB | DOI | Download (ext.) | WoS
Lachmair J, Merényi E, Porrmann M, Rückert U (2013)
Neurocomputing 112(SI): 189-199. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365Optimizing inter-FPGA communication by automatic channel adaptationPUB | DOI
Romoth J, Jungewelter D, Hagemeyer J, Porrmann M, Rückert U (2012)
In: 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico . Piscataway, NJ: IEEE: 1-7. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing MapsPUB | Download (ext.)
Lachmair J, Merenyi E, Porrmann M, Rückert U (2012)
In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. 645-650. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage ControlPUB | DOI
Lütkemeier S, Jungeblut T, Porrmann M, Rückert U (2012)
In: Proc. of the International Solid-State Circuits Conference (ISSCC). Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 484-485. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354A Scalable Platform for Run-time Reconfigurable Satellite Payload ProcessingPUB | DOI | Download (ext.)
Hagemeyer J, Hilgenstein A, Jungewelter D, Cozzi D, Felicetti C, Rückert U, Korf S, Köster M, Margaglia F, Porrmann M, Dittmann F, et al. (2012)
In: 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012). Piscataway, NJ: IEEE: 9-16. -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493814Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling.PUB | DOI | Download (ext.)
Durelli G, Santambrogio MD, Cresci F, Porrmann M, Sciuto D (2012)
In: 19th Reconfigurable Architectures Workshop (RAW 2012). -
2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813A TCMS-based architecture for GALS NoCs.PUB | DOI
Jungeblut T, Ax J, Porrmann M, Rückert U (2012)
In: 2012 IEEE International Symposium on Circuits and Systems. IEEE Circuits and Systems Society, Institute of Electrical and Electronics Engineers (Eds); Piscataway, NJ: IEEE. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAsPUB | DOI | Download (ext.)
Korf S, Cozzi D, Koester M, Hagemeyer J, Porrmann M, Rückert U, Santambrogio MD (2011)
In: IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings . Chow P (Ed); Piscataway, NJ: IEEE: 125-132. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493823Evaluation of Applied Intra-Disk Redundancy Schemes to Improve Single Disk Reliability.PUB | DOI | Download (ext.)
Grawinkel M, Schäfers T, Brinkmann A, Hagemeyer J, Porrmann M (2011)
In: MASCOTS2011 The 19th Annual Meeting of the IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems. Association for Computing Machinery, Institute of Electrical and Electronics Engineers, Nanyang Technological University (Eds); Piscataway, NJ: IEEE: 297-306. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493819Analysis of SEU Effects in Partially Reconfigurable SoPCs.PUB | DOI
Sterpone L, Margaglia F, Köster M, Hagemeyer J, Porrmann M (2011)
In: Proceedings of NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2011). European Space Agency, Jet Propulsion Laboratory, USA. National Aeronautics and Space Administration, The University of Edinburgh. National Aeronautics and Space Administration (Eds); Piscataway, NJ: IEEE: 129-136. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507Fast Design-space Exploration with FPGA ClusterPUB | Download (ext.)
Romoth J, Hagemeyer J, Porrmann M, Rückert U (2011)
In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited)PUB | Dateien verfügbar
Jungeblut T, Ax J, Sievers G, Hübener B, Porrmann M, Rückert U (2011)
In: Proc. of the Radar, Communication and Measurement Conference (RADCOM). -
2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms.PUB | DOI | WoS
Nava F, Sciuto D, Santambrogio MD, Herbrechtsmeier S, Porrmann M, Witkowski U, Rückert U (2011)
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 4(3): 1-22. -
2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510A Low-Power Vision Processing Platform for Mobile RobotsPUB | Download (ext.)
Griessl R, Herbrechtsmeier S, Porrmann M, Rückert U (2011)
In: Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures. -
2011 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2494497Design Flow for a Fault-Tolerant Reconfigurable Multi-FPGA Architecture for Space ApplicationsPUB
Köster M, Hagemeyer J, Margaglia F, Porrmann M, Dittmann F, Ditze M, Sterpone L, Harris J, Ilstad J (2011)
In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing. -
2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536Design-space Exploration for Flexible WLAN HardwarePUB
Jungeblut T, Liß C, Porrmann M, Rückert U (2011)
In: Cross Layer Designs in WLAN Systems. Zorba N, Skianis C, Verikoukis C (Eds); Leicester, UK: Troubador Publishing: 521-564. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2494479vMAGIC – Automatic Code Generation for VHDLPUB | DOI
Pohl C, Fuest R, Porrmann M (2010)
newsletter edacentrum 2009: 1-9. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493826Implementation of a Dynamically Reconfigurable Processing Module for SpaceWire Networks.PUB | Download (ext.)
Dittmann F, Linke M, Hagemeyer J, Köster M, Lallet J, Pohl C, Porrmann M, Harris J, Ilstad J (2010)
In: Proceedings of the International SpaceWire Conference 2010. 193-196. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472693RAPTOR – A Scalable Platform for Rapid Prototyping and FPGA-based Cluster ComputingPUB | PDF
Porrmann M, Hagemeyer J, Pohl C, Romoth J, Strugholtz M (2010)
In: Parallel Computing: From Multicores and GPU's to Petascale, Advances in Parallel Computing., 19. IOS press: 592-599. -
2010 | Patent | Veröffentlicht | PUB-ID: 2494087Mehrprozessor-ComputersystemPUB
Christmann W, Strugholtz M, Hagemeyer J, Porrmann M (2010) . -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622Extending GigaNoC towards a Dependable Network-on-ChipPUB
Puttmann C, Porrmann M, Rückert U (2010)
In: Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC). -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549Design Space Exploration for Memory Subsystems of VLIW ArchitecturesPUB | DOI
Jungeblut T, Sievers G, Porrmann M, Rückert U (2010)
In: 5th IEEE International Conference on Networking, Architecture, and Storage. 377-385. -
2010 | Konferenzbeitrag | PUB-ID: 2286616A Framework for the Design Space Exploration of Software-Defined Radio ApplicationsPUB | Download (ext.)
Jungeblut T, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2010) . -
2010 | Konferenzbeitrag | PUB-ID: 2286628A modular design flow for very large design space explorationsPUB | Dateien verfügbar
Jungeblut T, Lütkemeier S, Sievers G, Porrmann M, Rückert U (2010) . -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve CryptographyPUB | PDF | DOI | Download (ext.)
Jungeblut T, Puttmann C, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2010)
Advances in Radio Science 8: 295-305. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423Design Optimizations for Tiled Partially Reconfigurable SystemsPUB | DOI | WoS
Koester M, Luk W, Hagemeyer J, Porrmann M, Rückert U (2010)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19(6): 1048-1061. -
2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557Runtime Reconfiguration of Multiprocessors Based on Compile-Time AnalysisPUB | DOI | WoS
Purnaprajna M, Porrmann M, Rückert U, Hussmann M, Thies M, Kastens U (2010)
ACM Transactions on Reconfigurable Technology 3(3): 1-25. -
2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564High Level Specification of Embedded Listeners for Monitoring of Network-on-ChipsPUB | DOI
Puttmann C, Porrmann M, Grassi PR, Santambrogio MD, Rückert U (2010)
In: Proceedings of the IEEE International Symposium on Circuits and Systems. 3333-3336. -
2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493628vMAGIC - Automatic Code Generation for VHDLPUB | DOI | Download (ext.)
Pohl C, Paiz C, Porrmann M (2009)
International Journal of Reconfigurable Computing, Hindawi Publishing Corporation, 2009(Article ID 205149): 1-9. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493880Self-optimization of MPSoCs Targeting Resource Efficiency and Fault TolerancePUB | DOI
Porrmann M, Purnaprajna M, Puttmann C (2009)
In: NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2009). European Space Agency, Jet Propulsion Laboratory, The University of Edinburgh (Eds); Piscataway, NJ: IEEE: 467-473. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472673Design Optimizations to Improve Placeability of Partial Reconfiguration ModulesPUB | DOI | Download (ext.)
Koester M, Luk W, Hagemeyer J, Porrmann M (2009)
In: Proceedings of the International Conference on Design, Automation and Test in Europe (DATE 2009). European Design Automation Association (Ed); Piscataway, NJ: ACM Press. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472678Rapid Prototyping of Next-Generation Multiprocessor SoCsPUB
Porrmann M, Hagemeyer J, Romoth J, Strugholtz M (2009)
In: Proceedings of Semiconductor Conference Dresden, SCD 2009. Dresden, Germany. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472686SiLLis: A Simplified Language for Monitoring and Debugging of Reconfigurable SystemsPUB
Grassi PR, Santambrogio M, Hagemeyer J, Pohl C, Porrmann M (2009)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '09). Las Vegas, USA: 174-180. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493870A High Level Methodology for Monitoring Network-on-ChipsPUB
Grassi PR, Santambrogio M, Puttmann C, Pohl C, Porrmann M (2009)
In: Diagnostic Services in Network-on-Chips (DSNOC 2009), Workshop at Design, Automation and Test in Europe. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752Using Run-time Reconfiguration for Energy Savings in Parallel Data ProcessingPUB | PDF
Purnaprajna M, Pohl C, Porrmann M, Rückert U (2009)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA. 119-125. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757A Synchronization Method for Register Traces of Pipelined ProcessorsPUB | Download (ext.)
Dreesen R, Jungeblut T, Thies M, Porrmann M, Rückert U, Kastens U (2009)
In: Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany: 207-217. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable ApplicationsPUB | DOI
Paiz C, Pohl C, Radkowski R, Hagemeyer J, Porrmann M, Rückert U (2009)
In: Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09). IEEE Circuits and Systems Society, IEEE Electron Devices Society, Institute of Electrical and Electronics Engineers (Eds); The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE: 372-375. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493834Cipset for a Coherent Polarization-Multiplexed QPSK ReceiverPUB | DOI
Herath V, Peveling R, Pfau T, Adamczyk O, Hoffmann S, Wördehoff C, Porrmann M, Noe R (2009)
In: Proceedings of OFC/NFOEC 2009. Institute of Electrical and Electronics Engineers, Optical Society of America (Eds); Piscataway, NJ: OSA. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772InCyte ChipEstimator in Research and EducationPUB
Liß C, Porrmann M, Rückert U (2009)
In: CDNLive EMEA 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830Design Space Exploration for Next Generation Wireless Technologies (invited talk).PUB
Jungeblut T, Klassen D, Dreesen R, Porrmann M, Thies M, Rückert U, Kastens U (2009)
In: Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880Using a Reconfigurable Compute Cluster for the Acceleration of Neural NetworksPUB | DOI
Pohl C, Hagemeyer J, Porrmann M, Rückert U (2009)
In: Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09). IEEE Circuits and Systems Society, IEEE Electron Devices Society, Institute of Electrical and Electronics Engineers (Eds); Sydney, Australia: IEEE: 368-371. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493855Towards Real-Time Implementation of Coherent Optical CommunicationPUB | DOI
Pfau T, Peveling R, Herath V, Hoffmann S, Wördehoff C, Adamczyk O, Porrmann M, Noe R (2009)
In: Proceedings of OFC/NFOEC 2009. Institute of Electrical and Electronics Engineers, Optical Society of America (Eds); Piscataway, NJ: OSA. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494485Manageable Dynamic Reconfiguration with EVE – Extendable VHDL EditorPUB | Download (ext.)
Pohl C, Fuest R, Porrmann M (2009)
In: Design Automation and Test in Europe (DATE), University Booth. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144724Reconfiguration ViewerPUB | PDF
Grassi PR, Pohl C, Porrmann M (2009)
In: Design Automation and Test in Europe, DATE University Booth. Nice, France. -
2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870Run-time reconfigurability in embedded multiprocessorsPUB | DOI | Download (ext.)
Purnaprajna M, Porrmann M, Rückert U (2009)
ACM SIGARCH Computer Architecture News 37(2): 30-37. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782Early Exploration of Network Processor Architectures Using Cadence InCyte Chip EstimatorPUB
Liß C, Porrmann M, Rückert U (2009)
In: CDNLive EMEA 2009. -
2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843FPGA-Based Realization of Self-Optimizing Drive-ControllersPUB | PDF | DOI | Download (ext.)
Paiz C, Hagemeyer J, Pohl C, Porrmann M, Rückert U, Schulz B, Peters W, Böcker J (2009)
In: the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009). IEEE Industrial Electronics Society, Institute of Electrical and Electronics Engineers, Keisoku-jidō-seigyō-gakkai, Universidade do Porto (Eds); Piscataway, NJ: IEEE: 2868-2873. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942215Power Aware Reconfigurable Multiprocessor for Elliptic Curve CryptographyPUB | DOI
Purnaprajna M, Puttmann C, Porrmann M (2008)
In: 2008 Design, Automation and Test in Europe. Piscataway, NJ: IEEE: 1462-1467. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493929Power Aware Reconfigurable Multiprocessor for Elliptic Curve CryptographyPUB | DOI | Download (ext.)
Purnaprajna M, Puttmann C, Porrmann M (2008)
In: Proceedings of DATE '08: Design, Automation and Test in Europe. ACM: 1462-1467. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494491A Hardware-in-the-Loop Design Environment for FPGAsPUB
Pohl C, Paiz C, Porrmann M (2008)
In: Design, Automation and Test in Europe (DATE), University Booth. -
2008 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2493607Hardware-in-the-Loop Simulations for FPGA-Based Digital Control Design.PUB | DOI
Paiz C, Pohl C, Porrmann M (2008)
In: Informatics in Control, Automation and Robotics. Andrade-Cetto J, Ferrier J-L, dias Pereira J'e MC, Filipe J (Eds); , 3. Berlin, Heidelberg: Springer-Verlag: 355-372. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493900Ultra-Fast Adaptive Digital Polarization Control in a Realtime Coherent Polarization-Multiplexed QPSK ReceiverPUB | Download (ext.)
Pfau T, Wördehoff C, Peveling R, Ibrahim SK, Hoffmann S, Adamczyk O, Bhandare S, Porrmann M, Noe R, Porte H, Achiam Y, et al. (2008)
In: Proceedings of OFC/NFOEC 2008. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493648Fast Adaptive Polarization and PDL Tracking in a Real-Time FPGA-Based Coherent PolDM-QPSK ReceiverPUB | DOI | WoS
El-Darawy M, Pfau T, Hoffmann S, Peveling R, Wördehoff C, Koch B, Porrmann M, Adamczyk O, Noe R (2008)
IEEE Photonics Technology Letters 20(21): 1796-1798. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493667Frequency and Phase Estimation for Coherent QPSK Transmission With Unlocked DFB LasersPUB | DOI | WoS
Hoffmann S, Bhandare S, Pfau T, Adamczyk O, Wördehoff C, Peveling R, Porrmann M, Noe R (2008)
IEEE Photonics Technology Letters 20(18): 1569-1571. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493890FPGA-in-the-Loop Simulations with CAMEL-ViewPUB
Münch E, Gambuzza A, Paiz C, Pohl C, Porrmann M (2008)
In: Self-optimizing Mechatronic Systems: Design the Future, 7th International Heinz Nixdorf Symposium. 429-445. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493939Resource Efficiency of Instruction Set Extensions for Elliptic Curve CryptographyPUB | DOI
Puttmann C, Shokrollahi J, Porrmann M (2008)
In: Proceedings of the 5th Internation Conference on Information Technology: New Generations, ITNG 2008. IEEE Computer Society, Institute of Electrical and Electronics Engineers (Eds); Piscataway, NJ: IEEE: 131-136. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493960vMAGIC – VHDL Manipulation and Automation for Reliable System DevelopmentPUB
Pohl C, Paiz C, Porrmann M (2008)
In: Proceedings of the 3rd International Workshop on Reconfigurable Computing Education (on CD). -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494141Realtime 40 krad/s Polarization Tracking with 6 dB PDL in Digital Synchronous Polarization-Multiplexed QPSK ReceiverPUB | DOI
El-Darawy M, Pfau T, Wördehoff C, Koch B, Hoffmann S, Peveling R, Porrmann M, Noe R (2008)
In: Proceedings of European Conference on Optical Communication (ECOC). IEEE. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472725Hardware Virtualization Exploiting Dynamically Reconfigurable ArchitecturesPUB
Hagemeyer J, Koester M, Porrmann M (2008)
In: 1. GI/ITG KuVS Fachgespräch Virtualisierung. Heinz Nixdorf Institut, Universität Paderborn. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493945SelfS – A Real-Time Protocol for Virtual Ring TopologiesPUB | DOI
Griese B, Brinkmann A, Porrmann M (2008)
In: Proceedings of the 16th International Workshop on Parallel and Distributed Real-Time Systems (WPDRTS '08), on CD. IEEE Computer Society. Technical Committee on Parallel Processing, Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds); Piscataway, NJ: IEEE. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493957Run-time Reconfigurable MultiprocessorsPUB
Purnaprajna M, Porrmann M (2008)
In: Proceedings of the 22nd International Parallel and Distributed Processing Symposium (IPDPS 2008), PhD Forum. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494157Run-time Reconfigurable Cluster of ProcessorsPUB | Download (ext.)
Purnaprajna M, Porrmann M (2008)
In: Proceedings of 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), Workshop on Design, Architecture and Simulation of Chip Multi-Processors, IEEE Computer Society. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237Realtime multiprocessor for mobile ad hoc networksPUB | PDF | DOI | Download (ext.)
Jungeblut T, Grünewald M, Porrmann M, Rückert U (2008)
Advances in Radio Science 6: 239-243. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493966Frequency Estimation and Compensation for Coherent QPSK Transmission with DFB LasersPUB | DOI | Download (ext.)
Hoffmann S, Pfau T, Adamczyk O, Wördehoff C, Peveling R, Porrmann M, Noe R (2008)
In: Proc. OSA Topical Meeting Coherent Optical Technologies and Applications (COTA). OSA. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 249409632-krad/s Polarization and 3-dB PDL Tracking in a Realtime Digital Coherent Polarization-Multiplexed QPSK ReceiverPUB | DOI
Pfau T, El-Darawy M, Wördehoff C, Peveling R, Hoffmann S, Koch B, Adamczyk O, Porrmann M, Noe R (2008)
In: Proceedings of the 2008 IEEE-LEOS Summer Topical Meetings. IEEE Lasers and Electro-Optics Society (Ed); Piscataway, NJ: IEEE: 105-106. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205Design Space Exploration for Resource Efficient VLIW-ProcessorsPUB
Jungeblut T, Dreesen R, Porrmann M, Rückert U, Hachmann U (2008)
In: University Booth of the Design, Automation and Test in Europe (DATE) conference. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175Hardware Accelerators for Elliptic Curve CryptographyPUB | PDF | DOI | Download (ext.)
Puttmann C, Shokrollahi J, Porrmann M, Rückert U (2008)
Advances in Radio Science 6: 259-264. -
2008 | Monographie | Veröffentlicht | PUB-ID: 2493583Selbstoptimierende Systeme des Maschinenbaus – Definitionen, Anwendungen, Konzepte.PUB
Adelt P, Donoth J, Gausemeier J, Geisler J, Henkler S, Kahl S, Klöpper B, Krupp A, Münch E, Oberthür S, Paiz C, et al. (2008) ; Band 234.
HNI-Verlagsschriftenreihe. -
2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493684Coherent optical communication: Towards realtime systems at 40 Gbit/s and beyondPUB | DOI | WoS | PubMed | Europe PMC
Pfau T, Hoffmann S, Adamczyk O, Peveling R, Herath V, Porrmann M, Noe R (2008)
Optics Express 16(2): 866-872. -
2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494113Realtime digital polarization and carrier recovery in a polarization-multiplexed optical QPSK transmissionPUB | DOI
Noe R, Hoffmann S, Pfau T, Adamczyk O, Herath V, Peveling R, Porrmann M (2008)
In: Proceedings of the 2008 IEEE/LEOS Summer Topical Meetings. IEEE Lasers and Electro-Optics Society (Ed); Piscataway, NJ: IEEE: 99-100. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-MultiprocessorsPUB | DOI | Download (ext.)
Puttmann C, Niemann J-C, Porrmann M, Rückert U (2007)
In: 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007). Piscataway, NJ: IEEE: 495-502. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472738Design of Homogeneous Communication Infrastructures for Partially Reconfigurable FPGAsPUB | Download (ext.)
Hagemeyer J, Kettelhoit B, Koester M, Porrmann M (2007)
In: Proc. of the Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA '07). Las Vegas, USA. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494198The Utilization of Reconfigurable Hardware to Implement Digital Controllers: a ReviewPUB | DOI
Paiz C, Porrmann M (2007)
In: Proceedings of the IEEE International Symposium on Industrial Electronics. IEEE: 2380-2385. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472729Run-Time Reconfiguration of FPGA-Based Drive ControllersPUB | DOI
Schulz B, Paiz C, Hagemeyer J, Mathapati S, Porrmann M, Böcker J (2007)
In: European Conference on Power Electronics and Applications (EPE 2007). Aalborg, Denmark: IEEE. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472743A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGASPUB | DOI
Hagemeyer J, Kettelhoit B, Koester M, Porrmann M (2007)
In: Proceedings of the 17th International Conference on Field Programmable Logic and Applications (FPL). IEEE Circuits and Systems Society, Technische Universiteit Delft (Eds); Amsterdam, Netherlands: IEEE: 331-338. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472748INDRA – Integrated Design Flow for Reconfigurable ArchitecturesPUB | Download (ext.)
Hagemeyer J, Kettelhoit B, Koester M, Porrmann M (2007)
In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033Compiler-Driven Reconfiguration of MultiprocessorsPUB | PDF | Download (ext.)
Hussmann M, Thies M, Kastens U, Purnaprajna M, Porrmann M, Rückert U (2007)
In: Proceedings of the Workshop on Application Specific Processors (WASP) 2007. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057Real-Time Multiprocessor SoC for Mobile Ad Hoc NetworksPUB | Download (ext.)
Jungeblut T, Grünewald M, Porrmann M, Rückert U (2007)
In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494165A design framework for FPGA-based dynamically reconfigurable digital controllersPUB | DOI
Paiz C, Kettelhoit B, Porrmann M (2007)
In: Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS2007). Institute of Electrical and Electronics Engineers (Ed); Piscataway, NJ: IEEE: 3709-3711. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494230PDL-Tolerant Real-time Polarization-Multiplexed QPSK Transmission with Digital Coherent Polarization Diversity ReceiverPUB | DOI
Pfau T, Peveling R, Hoffmann S, Bhandare S, Ibrahim SK, Sandel D, Adamczyk O, Porrmann M, Noe R, Achiam Y, Schlieder D, et al. (2007)
In: Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings. IEEE Lasers and Electro-Optics Society (Ed); Piscataway, NJ: IEEE: 17-18. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494285Polarization-Multiplexed 2.8 Gbit/s Synchronous QPSK Transmission with Real-Time Digital Polarization TrackingPUB | DOI | Download (ext.)
Pfau T, Peveling R, Samson F, Romoth J, Hoffmann S, Bhandare S, Ibrahim SK, Sandel D, Adamczyk O, Porrmann M, Noe R, et al. (2007)
In: Proceedings of ECOC., 3. IEE: 263-264. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494514Flexible Hardware Platforms for Dynamic ReconfigurationPUB
Porrmann M (2007)
In: Invited Talk at the 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on LinuxPUB | DOI
Rana V, Santambrogio M, Sciuto D, Kettelhoit B, Koester M, Porrmann M, Rückert U (2007)
In: Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society. IEEE Computer Society. Technical Committee on Parallel Processing, Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds); Piscataway, NJ: IEEE. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493699Coherent Digital Polarization Diversity Receiver for Real-Time Polarization-Multiplexed QPSK Transmission at 2.8 Gb/sPUB | DOI | WoS
Pfau T, Peveling R, Hauden Y, Grossard N, Porte H, Achiam Y, Hoffmann S, Ibrahim SK, Adamczyk O, Bhandare S, Sandel D, et al. (2007)
Photonics Technology Letters, IEEE 19(24): 1988-1990. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494159Hardware-in-the-Loop Entwicklungsumgebung fuer informationsverarbeitende Komponenten mechatronischer SystemePUB
Pohl C, Paiz C, Porrmann M (2007)
In: 5. Paderborner Workshop Entwurf mechatronischer Systeme. 69-79. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494262Realtime Optical Synchronous QPSK Transmission with DFB lasersPUB | DOI
Pfau T, Adamczyk O, Herath V, Peveling R, Hoffmann S, Porrmann M, Noe R (2007)
In: Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings. IEEE Lasers and Electro-Optics Society (Ed); Piscataway, NJ: IEEE: 15-16. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016Resource efficiency of the GigaNetIC chip multiprocessor architecturePUB | DOI | WoS
Niemann J-C, Puttmann C, Porrmann M, Rückert U (2007)
Journal of System Architecture 53(5-6): 285-299. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494202Real-time Digital Carrier & Data Recovery for a Synchronous Optical Quadrature Phase Shift Keying Transmission SystemPUB | DOI
Noe R, Pfau T, Adamczyk O, Peveling R, Herath V, Hoffmann S, Porrmann M, Ibrahim SK, Bhandare S (2007)
In: Proceedings of System Microwave Symposium. IEEE/MTT-S International. IEEE Microwave Theory and Techniques Society (Ed); Piscataway, NJ: IEEE: 1503-1506. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494512A Layer-Model Based Methodology for the Design of Dynamically Reconfigurable Systems. Invited TalkPUB
Porrmann M (2007)
In: 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop. -
2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049A Multiprocessor Cache for Massively Parallel SoC ArchitecturesPUB | DOI
Niemann J-C, Liß C, Porrmann M, Rückert U (2007)
In: ARCS'07: Architecture of Computing Systems. Lukowicz P (Ed); Lecture Notes in Computer Science, 4415. Zurich, Switzerland: Springer Berlin Heidelberg: 83-97. -
2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724Defragmentation Algorithms for Partially Reconfigurable HardwarePUB | DOI
Köster M, Kalte H, Porrmann M, Rückert U (2007)
VLSI-SoC: From Systems to Silicon 240: 41-53. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494328Hardware-Efficient and Phase Noise Tolerant Digital Synchronous QPSK Receiver ConceptPUB | DOI | Download (ext.)
Hoffmann S, Pfau T, Adamczyk O, Peveling R, Porrmann M, Noe R (2006)
In: Proceedings Optical Amplifiers and Their Applications/Coherent Optical Technologies and Applications. Optical Society of America. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494326REPLICA2Pro: Task Relocation by Bitstream Manipulation in VIRTEX-II/Pro FPGAsPUB | DOI | Download (ext.)
Kalte H, Porrmann M (2006)
In: Proceedings of the 3rd Conference on Computing Frontiers. Alderighi M (Ed); New York: ACM: 403-412. -
2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718Implementation of Self-Organizing Feature Maps in Reconfigurable HardwarePUB | DOI
Porrmann M, Witkowski U, Rückert U (2006)
In: FPGA Implementations of Neural Networks. Omondi A, Rajapakse J (Eds); Boston, MA: Springer: 247-269. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494321Teaching Reconfigurable Computing Theory and PracticePUB
Porrmann M, Niemann J-C (2006)
In: International Workshop on Reconfigurable Computing Education (on CD). -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494340Relocation and Defragmentation for Heterogeneous Reconfigurable SystemsPUB
Koester M, Kalte H, Porrmann M (2006)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '06). CSREA Press: 70-76. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494364A Layer Model for Systematically Designing Dynamically Reconfigurable SystemsPUB | DOI
Kettelhoit B, Porrmann M (2006)
In: Proceedings of the 16th International Conference on Field Programmable Logic and Applications. IEEE Circuits and Systems Society, Escuela Politécnica Superior (Madrid) (Eds); Piscataway, NJ: IEEE: 547-552. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 24943901.6 Gbit/s Real-Time Synchronous QPSK Transmission with Standard DFB LasersPUB | DOI
Pfau T, Hoffmann S, Peveling R, Bhandare S, Adamczyk O, Porrmann M, Noe R, Achiam Y (2006)
In: Proceedings of the 32nd European Conference on Optical Communication (ECOC 2006). IEEE. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2473942Dedicated Module Access in Dynamically Reconfigurable SystemsPUB | DOI
Hagemeyer J, Kettelhoit B, Porrmann M (2006)
In: Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS). Association for Computing Machinery (Ed); ACM Digital Library. Washington, DC: IEEE: 1. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494374Evaluation of on-chip interfaces for dynamically reconfigurable coprocessorsPUB | DOI
Griese B, Kettelhoit B, Porrmann M (2006)
In: Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering. IEEE Computer Society. Technical Committee on Parallel Processing, Institute of Electrical and Electronics Engineers. Poland Section (Eds); Los Alamitos, Calif. : IEEE: 214-219. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494380Application-driven Development of Concurrent Packet Processing PlatformsPUB | Download (ext.)
Sauer C, Gries M, Niemann J-C, Porrmann M, Thies M (2006)
In: Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering. 55-61. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494405Dynamically Reconfigurable Hardware for Autonomous Mini-RobotsPUB | DOI
Paiz C, Chinapirom T, Witkowski U, Porrmann M (2006)
In: 32nd Annual Conference of the IEEE Industrial Electronics Society (IECON-2006). IEEE: 3981-3986. -
2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493726First Real-Time Data Recovery for SynchroneusQPSK Transmission with Standard DFB LasersPUB | DOI | WoS
Pfau T, Hoffmann S, Peveling R, Bhandare S, Ibrahim SK, Adamczyk O, Porrmann M, Noe R, Achiam Y (2006)
IEEE PHOTONICS TECHNOLOGY LETTERS 18(18): 1907-1909. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494368Reconfigurable Hardware in-the-Loop Simulations for Digital Control DesignPUB
Paiz C, Pohl C, Porrmann M (2006)
In: 3th International Conference on Informatics in Control, Automation and Robotics (ICINCO). 39-46. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network ApplicationsPUB | DOI
Niemann J-C, Puttmann C, Porrmann M, Rückert U (2006)
In: ARCS'06 Architecture of Computing Systems. Grass W (Ed); Lecture notes in computer science, 3894. Berlin, Heidelberg: Springer Berlin Heidelberg: 268-282. -
2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493754Synchronous QPSK transmission at 1.6 Gbit/s with standard DFB lasers and real-time digital receiverPUB | DOI | WoS
Pfau T, Hoffmann S, Peveling R, Ibrahim SK, Adamczyk O, Porrmann M, Bhandare S, Noe R, Achiam Y (2006)
IEEE Electronic Letters 42(20): 1175-1176. -
2006 | Patent | Veröffentlicht | PUB-ID: 2494093Flexible Beschleunigungseinheit für die Verarbeitung von DatenpaketenPUB
Niemann J-C, Sauer C, Porrmann M, Rückert U (2006) . -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494346Synchrone 1,6-Gbits-QPSK-Datenübertragung in Echtzeit mit DFB-LasernPUB
Hoffmann S, Pfau T, Peveling R, Bhandare S, Adamczyk O, Porrmann M, Noe R (2006)
In: Workshop der ITG Fachgruppe 5.3.1, Modellierung photonischer Komponenten und Systeme. 21-27. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494360A Reconfigurable Ethernet Switch for Self-Optimizing Communication SystemsPUB | DOI
Griese B, Porrmann M (2006)
In: Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006). Springer US: 115-125. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278Bio-inspired massively parallel architectures for nanotechnologiesPUB | DOI
Jäger B, Porrmann M, Rückert U (2006)
In: Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006). IEEE Circuits and Systems Society (Ed); Piscataway, NJ: IEEE: 1961-1964. -
2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969A Lightweight NoC for the NOVA Packet Processing PlattformPUB | PDF | Download (ext.)
Sauer C, Gries M, Dirk S, Niemann J-C, Porrmann M, Rückert U (2006)
In: Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop. Munich, Germany. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882Adaptable Switch boxes as on-chip routing nodes for networks-on-chipPUB | PDF | DOI | Download (ext.)
Eickhoff R, Niemann J-C, Porrmann M, Rückert U (2005)
In: From Specification to Embedded Systems Application . Rettberg A, Zanella MC, Rammig FJ (Eds); IFIP On-Line Library in Computer Science, 184. Boston, MA: Springer: 201-210. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494412Component case study of a self-optimizing RCOS/RTOS system. A reconfigurable network servicePUB | DOI | Download (ext.)
Griese B, Oberthür S, Porrmann M (2005)
In: From Specification to Embedded Systems Application. Rettberg A, Zanella MC, Rammig FJ (Eds); IFIP On-Line Library in Computer Science , 184. Boston, MA: Springer: 267-277. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-RoadmapPUB
Liß C, Peveling R, Porrmann M, Rückert U (2005)
In: Symposium fuer Vorausschau und Technologieplanung. Berlin, Germany: 87-103. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494437Task Placement for Heterogeneous Reconfigurable ArchitecturesPUB | DOI
Koester M, Kalte H, Porrmann M (2005)
In: Proceedings of the IEEE 2005 Conference on Field-Programmable Technology (FPT '05). IEEE Circuits and Systems Society. Singapore Chapter, IEEE Electron Devices Society. Singapore Chapter, Institute of Electrical and Electronics Engineers. Singapore Section, School of Computing (Singapur). Singapore Section (Eds); Piscataway, NJ: IEEE: 43-50. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494424Context Saving and Restoring for Multitasking in Reconfigurable SystemsPUB | DOI
Kalte H, Porrmann M (2005)
In: 15th International Conference on Field Programmable Logic and Applications. IEEE Circuits and Systems Society, Tampereen Teknillinen Yliopisto (Eds); Piscataway, NJ: IEEE: 223-228. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494429Run-Time Defragmentation for Partially Reconfigurable SystemsPUB
Koester M, Kalte H, Porrmann M (2005)
In: Proceedings of the International Conference on Very Large Scale Integration (IFIP VLSI-SOC). 109-115. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900Rekonfigurierbare Hardware zur Regelung mechatronischer SystemePUB
Kettelhoit B, Klassen A, Paiz C, Porrmann M, Rückert U (2005)
In: 3. Paderborner Workshop: Intelligente mechatronische Systeme. 195-205. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050Placement-Oriented Modeling of Partially Reconfigurable ArchitecturesPUB | Download (ext.)
Koester M, Porrmann M, Rückert U (2005)
In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. -
2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286A framework for design space exploration of resource efficient network processing on multiprocessor SoCsPUB | DOI
Grünewald M, Niemann J-C, Porrmann M, Rückert U (2005)
In: Network Processor Design: Issues and Practices. Crowely P, Franklin MA, Hadimioglu H, Onufryk PZ (Eds); , 3. Morgan Kaufmann Publisher: 245-277. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853An Evaluation of the Scalable GigaNetIC Architecture for Access NetworksPUB | PDF
Niemann J-C, Porrmann M, Sauer C, Rückert U (2005)
In: Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005). -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944Dynamically reconfigurable hardware for digital controllers in mechatronic systemsPUB | DOI
Paiz C, Kettelhoit B, Klassen A, Porrmann M, Rückert U (2005)
In: IEEE International Conference on Mechatronics (ICM 2005). IEEE Industrial Electronics Society (Ed); Piscataway, NJ: IEEE: 675-680. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic SystemsPUB
Kettelhoit B, Kalte H, Porrmann M, Rückert U (2005)
In: 5. GMM/ITG/GI-Workshop Multi-Nature Systems. 97-101. -
2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654A System Approach for Partially Reconfigurable ArchitecturesPUB | DOI
Kalte H, Kettelhoit B, Koester M, Porrmann M, Rückert U (2005)
International Journal of Embedded Systems (IJES), Inderscience Publisher 1(3/4): 274-290. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable SystemsPUB | DOI
Kalte H, Lee G, Porrmann M, Rückert U (2005)
In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. IEEE. -
2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309A scalable parallel SoC architecture for network processorsPUB | DOI
Niemann J-G, Porrmann M, Rückert U (2005)
In: VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on. IEEE: 311-313. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494463Developing an IP-DSLAM Benchmark for Network Processor UnitsPUB
Hagen G, Niemann J-C, Porrmann M, Sauer C, Slowik A, Thies M (2004)
In: ANCHOR 2004, Advanced Networking and Communications Hardware Workshop, held in conjunction with the 31st Annual International Symposium on Computer Architecture (ISCA 2004). -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912Dynamic Reconfiguration of Real-Time Network InterfacesPUB | DOI
Vonnahme E, Griese G, Porrmann M, Rückert U (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 376-379. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer HardwarePUB
Kalte H, Porrmann M, Rückert U (2004)
In: ARCS 2004 – Organic and Pervasive Computing. 234-244. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760Dynamische Rekonfiguration echtzeitfähiger NetzwerkschnittstellenPUB
Vonnahme E, Griese B, Porrmann M, Rückert U (2004)
In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'.(Band 1). Berlin, Germany: VDE Verlag: 99-104. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101A mapping strategy for resource-efficient network processing on multiprocessor SoCsPUB | DOI
Grunewald M, Niemann J-C, Porrmann M, Rückert U (2004)
In: Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings. European Design Automation Association (Ed); , 2. Los Alamitos, Calif. : IEEE Comput. Soc: 758-763. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233Study on column wise design compaction for reconfigurable systemsPUB | DOI
Kalte H, Lee G, Porrmann M, Rückert U (2004)
In: Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. IEEE Electron Devices Society, School of Information Technology and Electrical Engineering (Eds); Piscataway, NJ: IEEE: 413-416. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776Parallele Architekturen für NetzwerkprozessorenPUB | Download (ext.)
Niemann J-C, Porrmann M, Rückert U (2004)
In: Ambient Intelligence, VDE Kongress., 1. VDE Verlag: 105-110. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730A Comparative Study on System Approaches for Partially Reconfigurable ArchitecturesPUB
Kalte H, Koester M, Kettelhoit B, Porrmann M, Rückert U (2004)
In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04). Plaks T (Ed); Las Vegas, Nevada, USA: CSREA Press: 70-76. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC ArchitecturesPUB | DOI
Griese B, Vonnahme E, Porrmann M, Rückert U (2004)
In: Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004). Becker J (Ed); Lecture notes in computer science, 3203. Antwerp, Belgium: Springer Berlin Heidelberg: 842-846. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146Network application driven instruction set extensions for embedded processing clustersPUB | DOI
Grunewald M, Le DK, Kastens U, Niemann J-C, Porrmann M, Rückert U, Slowik A, Thies M (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 209-214. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700A framework for design space exploration of resource efficient network processing on multiprocessor SoCsPUB
Grünewald M, Niemann J-C, Porrmann M, Rückert U (2004)
In: Proceedings of the 3rd Workshop on Network Processors & Applications. Madrid, Spain: 87-101. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942Hardware Accelerated Data AnalysisPUB | DOI
Franzmeier M, Pohl C, Porrmann M, Rückert U (2004)
In: Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. IEEE Computer Society. Technical Committee on Parallel Processing, Technische Universität Dresden. Technical Committee on Parallel Processing (Eds); Los Alamitos, Calif. : IEEE Comput. Soc: 309-314. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356System-on-programmable-chip approach enabling online fine-grained 1D-placementPUB | DOI
Kalte H, Porrmann M, Rückert U (2004)
In: Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International. IEEE: 141. -
2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138gNBX - reconfigurable hardware acceleration of self-organizing mapsPUB | DOI
Pohl C, Franzmeier M, Porrmann M, Rückert U (2004)
In: Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. IEEE Electron Devices Society, School of Information Technology and Electrical Engineering (Eds); Piscataway, NJ: IEEE: 97-104. -
2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024A holistic methodology for network processor designPUB | DOI
Bonorden O, Bruls N, Kastens U, Le DK, Heide auf der FM, Niemann J-C, Porrmann M, Rückert U, Slowik A, Thies M (2003)
In: Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on. IEEE: 583-592. -
2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324A Massively Parallel Architecture for Self-Organizing Feature MapsPUB | DOI | WoS | PubMed | Europe PMC
Porrmann M, Witkowski U, Rückert U (2003)
IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations 14(5): 1110-1121. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575A Prototyping Platform for Dynamically Reconfigurable System on Chip DesignsPUB
Kalte H, Porrmann M, Rückert U (2002)
In: Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany. -
2002 | Monographie | Veröffentlicht | PUB-ID: 2493620Leistungsbewertung eingebetteter Neurocomputersysteme. Dissertation.PUB
Porrmann M (2002) ; 104.
Paderborn: HNI-Verlagsschriftenreihe, Heinz Nixdorf Institut, Schaltungstechnik. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603A Reconfigurable SOM Hardware AcceleratorPUB | PDF
Porrmann M, Franzmeier M, Kalte H, Witkowski U, Rückert U (2002) . -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC ImplementationPUB | PDF
Langen D, Niemann J-C, Porrmann M, Kalte H, Rückert U (2002)
In: Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589Dynamically Reconfigurable Hardware – A New Perspective for Neural Network ImplementationsPUB | DOI
Porrmann M, Witkowski U, Kalte H, Rückert U (2002)
In: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002). Glesner M (Ed); Lecture notes in computer science, 2438. Montpellier, France: Springer Berlin Heidelberg: 1048-1057. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896Implementation of artificial neural networks on a reconfigurable hardware acceleratorPUB | DOI
Porrmann M, Witkowski U, Kalte H, Rückert U (2002)
In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc: 243-250. -
2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966On-chip interconnects for next generation system-on-chipsPUB | DOI
Brinkmann A, Niemann J-C, Hehemann I, Langen D, Porrmann M, Rückert U (2002)
In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE: 211-215. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549The Impact of Communication on Hardware Accelerators for Neural NetworksPUB
Porrmann M, Rüping S, Rückert U (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI)., 3. Orlando, Florida, USA: 248-253. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555Extension Module for Application-Specific Hardware on the Minirobot KheperaPUB | PDF
Niemann J-C, Witkowski U, Porrmann M, Rückert U (2001)
In: Autonomous Minirobots for Research and Edutainment (AMiRE 2001). Paderborn, Germany: 279-288. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526XipChip – A Multiprocessor CPU for Multifunction PeripheralsPUB
Porrmann M, Rückert U, Landmann J, Marks KM (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI)., 15. Orlando, Florida, USA: 512-517. -
2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature MapsPUB
Porrmann M, Kalte H, Witkowski U, Niemann J-C, Rückert U (2001)
In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001., 3. Orlando, Florida, USA: 242-247. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566Rapid Prototyping System für dynamisch rekonfigurierbare HardwarestrukturenPUB
Kalte H, Porrmann M, Rückert U (2000)
In: Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000). Karlsruhe, Germany: 149-157. -
2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D GraphicsPUB
Kalte H, Porrmann M, Rückert U (2000)
In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000)., 5. Monte Carlo Resort, Las Vegas, Nevada, USA: 2819-2824. -
1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315SOM hardware with acceleration module for graphical representation of the learning processPUB | DOI
Porrmann M, Ruping S, Rückert U (1999)
In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc: 380-386. -
1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468A Hybrid Knowledge Processing SystemPUB
Porrmann M, Heittmann A, Rüping S, Rückert U (1998)
In: Proceedings of the Conference Neural Networks and their Applications (NEURAP). Marseille, France: 177-184. -
-
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384A High Performance SOFM Hardware-SystemPUB | PDF | Download (ext.)
Rüping S, Porrmann M, Rückert U (1997)
In: Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97). Lanzarote, Spain: 772-781. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402SOM Hardware-AcceleratorPUB | PDF | Download (ext.)
Rüping S, Porrmann M, Rückert U (1997)
In: Workshop on Self-Organizing Maps (WSOM).(1997). Espoo, Finnland: 136-141. -
1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241HIBRIC-MEM, a Memory Controller for PowerPC Based SystemsPUB | DOI
Porrmann M, Landmann J, Marks KM, Rückert U (1997)
In: Proceedings of the 23rd EUROMICRO Conference. Budapest, Ungarn: IEEE Comput. Soc: 653-663. -
1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575Neuronale AssoziativspeicherPUB
Palm G, Rückert U, Porrmann M, Schwenker F (1996)
In: Neuroinformatik Statusseminar. 419-432.