222 Publikationen

Alle markieren

  • [222]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982048
    Mika, K.; Griessl, R.; Kucza, N.; Porrmann, F.; Kaiser, M.; Tigges, L.; Hagemeyer, J.; Trancoso, P.; Azhar, M. W.; Qararyah, F.; Zouzoula, S.; Ménétrey, J.; Pasin, M.; Felber, P.; Marcus, C.; Brunnegard, O.; Eriksson, O.; Salomonsson, H.; Ödman, D.; Ask, A.; Casimiro, A.; Bessani, A.; Carvalho, T.; Gugala, K.; Zierhoffer, P.; Latosinski, G.; Tassemeier, M.; Porrmann, M.; Heyn, H. - M.; Knauss, E.; Mao, Y.; Meierhöfer, F.; Bartolini, A.; Rietveld, K.; Schuman, C.; Moreira, J. (2023): VEDLIoT. Next generation accelerated AIoT systems and applications. In: CF '23: Proceedings of the 20th ACM International Conference on Computing Frontiers. New York, NY: ACM. S. 291-296.
    PUB | DOI
     
  • [221]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756 OA
    Meyer, H. G.; Klimeck, D.; Paskarbeit, J.; Rückert, U.; Egelhaaf, M.; Porrmann, M.; Schneider, A. (2020): Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR. PloS one,15:(4)
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [220]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2019): Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods Sensors,19:(3):616
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [219]
    2019 | Datenpublikation | PUB-ID: 2939390 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2019): Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [218]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920469
    Oleksiak, A.; Kierzynka, M.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L. (2019): M2DC – A Novel Heterogeneous Hyperscale Microserver Platform. In: Christoforos Kachris; Babak Falsafi; Dimitrios Soudris (Hrsg.): Hardware Accelerators in Data Centers. 1. Cham, Switzerland: Springer International Publishing AG. S. 109-128.
    PUB | DOI
     
  • [217]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY: ACM. S. 210-217.
    PUB | DOI
     
  • [216]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: Trevor Mudge (Hrsg.): Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY, USA: ACM. S. 210-217.
    PUB | DOI
     
  • [215]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Etsion, Y.; Nowack, V.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Kaeli, D.; Pericàs, M. (2018): LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing. In: David Kaeli (Hrsg.): Proceedings of the 15th ACM International Conference on Computing Frontiers. New York, NY: ACM. S. 276-278.
    PUB | DOI | Download (ext.)
     
  • [214]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    Klimeck, D.; Meyer, H. G.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications. In: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [213]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2018): An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods. In: 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN). Piscataway, NJ: IEEE.
    PUB | PDF | DOI | Download (ext.)
     
  • [212]
    2018 | Datenpublikation | PUB-ID: 2919795 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2018): Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [211]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J.; Sievers, G.; Daberkow, J.; Flasskamp, M.; Vohrmann, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories IEEE Transactions on Parallel and Distributed Systems,29:(5): 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [210]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports Journal of Signal Processing Systems,91:(7): 703-729.
    PUB | DOI | WoS
     
  • [209]
    2018 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918788 OA
    Kaiser, M.; Pilz, S.; Porrmann, F.; Hagemeyer, J.; Porrmann, M. (2018): Accelerating Hamming Distance Comparisons for Locality Sensitive Hashing (LSH) using FPGAs. In: 12th CeBiTec Symposium - Big Data in Medicine and Biotechnology - Abstract Book. Bielefeld. (12). S. 48-49.
    PUB | PDF
     
  • [208]
    2018 | Report | Veröffentlicht | PUB-ID: 2918509 OA
    Braun, L. D.; Porrmann, M. (2018): The Comprehensive MAC Taxonomy Database: comatose.
    PUB | PDF | DOI
     
  • [207]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C.; Flasskamp, M.; Ax, J.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems.
    PUB
     
  • [206]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G.; Hübener, B.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2017): The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In: Waqar Hussain; Jari Nurmi; Jouni Isoaho; Fabio Garzia (Hrsg.): Computing Platforms for Software-Defined Radio. Cham, Switzerland: Springer International Publishing. S. 29--59.
    PUB | DOI
     
  • [205]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937407
    Agosta, G.; Barenghi, A.; Ciesielczyk, T.; Dutta, R.; Fornaciari, W.; Goubier, T.; Hagemeyer, J.; Kosmann, L.; Mainardi, N.; Oleksiak, A.; Pelosi, G.; Piatek, W.; Pieper, C.; Porrmann, M.; Schlitt, D.; Zanella, M. (2017): The M2DC Approach towards Resource-efficient Computing. In: Alessandro Bagnato; Ricardo Couceiro; Juliana Monteiro; Dijana Petrovska-Delacrétaz; Arminda Lopes; Élvio Gouveia (Hrsg.): OPPORTUNITIES AND CHALLENGES for European Projects. Volume 1: EPS Portugal 2017/2018. Setúbal, Portugal: SCITEPRESS. S. 150-176.
    PUB | DOI
     
  • [204]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2912818
    Oleksiak, A.; Kierzynka, M.; Piatek, W.; Agosta, G.; Barenghi, A.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Lachmair, J.; Peykanu, M.; Tigges, L.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Carbon, A.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Rosinger, S.; Schlitt, D.; Pieper, C.; Adeniyi-Jones, C.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cecowski, M.; Plestenjak, R.; Činkelj, J.; Setoain, J.; Ceva, L.; Janssen, U. (2017): M2DC – Modular Microserver DataCentre with heterogeneous hardware Microprocessors and Microsystems,52: 117-130.
    PUB | DOI | WoS
     
  • [203]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): Reconfigurable Vision Processing System for Player Tracking in Indoor Sports. In: Conference on Design and Architectures for Signal and Image Processing (DASIP 2017). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [202]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): FPGA-based Multi-Robot Tracking Journal of Parallel and Distributed Computing,107: 146-161.
    PUB | DOI | Download (ext.) | WoS
     
  • [201]
    2017 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918683 OA
    Kaiser, M.; Griessl, R.; Hagemeyer, J.; Jungewelter, D.; Porrmann, F.; Pilz, S.; Porrmann, M.; vor dem Berge, M.; Krupop, S. (2017): A Reconfigurable Heterogeneous Microserver Architecture for Energy-efficient Computing. In: Third International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC'17). Denver, CO.
    PUB | PDF | Download (ext.)
     
  • [200]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J.; Kucza, N.; Vohrmann, M.; Jungeblut, T.; Porrmann, M.; Rückert, U. (Accepted): Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. In: IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17).
    PUB
     
  • [199]
    2017 | Konferenzbeitrag | PUB-ID: 2909584
    Oleksiak, A.; Kierzynka, M.; Piatek, W.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Rosinger, S.; Schlitt, D.; Pieper, C.; Janssen, U.; Agosta, G.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cecowski, M.; Plestenjak, R.; Cinkelj, J.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Adeniyi-Jones, C.; Ceva, L.; Rauchfuss, H. (2017): M2DC: Modular Microserver Datacentre with Heterogeneous Hardware.
    PUB
     
  • [198]
    2017 | Report | PUB-ID: 2913643 OA
    Romoth, J.; Porrmann, M.; Rückert, U. (2017): Survey of FPGA applications in the period 2000 – 2015 (Technical Report).
    PUB | PDF | DOI
     
  • [197]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909044
    Lachmair, J.; Mieth, T.; Griessl, R.; Hagemeyer, J.; Porrmann, M. (2017): From CPU to FPGA – Acceleration of Self-Organizing Maps for Data Mining. In: International Joint Conference on Neural Networks (IJCNN 2017). S. 4299-4308.
    PUB
     
  • [196]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M.; Sievers, G.; Ax, J.; Klarhorst, C.; Jungeblut, T.; Kelly, W.; Thies, M.; Porrmann, M. (2016): Performance Estimation of Streaming Applications for Hierarchical MPSoCs. In: Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). New York, NY: ACM Press. S. 1.
    PUB | PDF | DOI
     
  • [195]
    2016 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2908973
    Cozzi, D.; Korf, S.; Cassano, L.; Hagemeyer, J.; Domenici, A.; Bernardeschi, C.; Porrmann, M.; Sterpone, L. (2016): OLT(RE)²: an On-Line on-demand Testing approach for permanent Radiation Effects in REconfigurable systems IEEE Transactions on Emerging Topics in Computing,PP:(99): 1-1.
    PUB | DOI | WoS
     
  • [194]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908974
    Oleksiak, A.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Christmann, W.; vor dem Berge, M.; Krupop, S.; Cudennec, L.; Cecowski, M.; Plestenjak, R.; Agosta, G.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cinkelj, J.; Goubier, T.; Philippe, J. M.; Rosinger, S.; Schlitt, D.; Pieper, C.; Adeniyi-Jones, C.; Janssen, U.; Ceva, L. (2016): Data centres for IoT applications: The M2DC approach (Invited paper). In: 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS). IEEE. S. 293-299.
    PUB | DOI
     
  • [193]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908980
    Cecowski, M.; Agosta, G.; Oleksiak, A.; Kierzynka, M.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Rosinger, S.; Schlitt, D.; Pieper, C.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Plestenjak, R.; Cinkelj, J.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Janssen, U.; Adeniyi-Jones, C. (2016): The M2DC Project: Modular Microserver DataCentre. In: 2016 Euromicro Conference on Digital System Design (DSD). Institute of Electrical and Electronics Engineers (IEEE).
    PUB | DOI
     
  • [192]
    2016 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2909602
    Griessl, R.; Peykanu, M.; Tigges, L.; Hagemeyer, J.; Porrmann, M. (2016): FiPS and M2DC: Novel Architectures for Reconfigurable Hyperscale Servers.
    PUB | Download (ext.)
     
  • [191]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J.; Sievers, G.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2015): System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. In: Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). New York, NY, USA: ACM. S. 3-8.
    PUB | PDF | DOI
     
  • [190]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G.; Ax, J.; Kucza, N.; Flasskamp, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2015): Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE. S. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [189]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G.; Daberkow, J.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE. S. 175-181.
    PUB | DOI
     
  • [188]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [187]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-8.
    PUB | DOI
     
  • [186]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, A.; Walter, M.; Hartfiel, J.; Ax, J.; Nussbaum, K.; Jungeblut, T.; Porrmann, M. (2015): Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien.
    PUB
     
  • [185]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    Herbrechtsmeier, S.; Jungeblut, T.; Porrmann, M. (2015): Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der Leiterplattenentwicklung. In: Entwurf mechatronischer Systeme. Paderborn: HNI Verlagsschriftenreihe. (343).
    PUB
     
  • [184]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902039 OA
    Griessl, R.; Peykanu, M.; Hagemeyer, J.; Porrmann, M.; Krupop, S.; vor dem Berge, M.; Kosmann, L.; Knocke, P.; Kierzynka, M.; Oleksiak, A. (2015): FPGA-accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters.
    PUB | PDF
     
  • [183]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M.; Chatterjee, S.; Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): A 65 nm Standard Cell Library for Ultra Low-power Applications. IEEE.
    PUB | DOI
     
  • [182]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992
    Irwansyah, A.; Ibraheem, O. W.; Klimeck, D.; Porrmann, M.; Rückert, U. (2014): FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera Interfaces.
    PUB
     
  • [181]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732400
    Gausemeier, J.; Korf, S.; Porrmann, M.; Stahl, K.; Sudmann, O.; Vaßholz, M. (2014): Development of Self-Optimizing Systems. In: Jürgen Gausemeier; Franz Josef Rammig; Wilhelm Schäfer (Hrsg.): Design Methodology for Intelligent Technical Systems. Develop Intelligent Technical Systems of the Future. Berlin Heidelberg: Springer Verlag. (Lecture Notes in Mechanical Engineering, ). S. 65-117.
    PUB | DOI
     
  • [180]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681323
    Sabena, D.; Sterpone, L.; Schölzel, M.; Koal, T.; Vierhaus, H. T.; Wong, S.; Glein, R.; Rittner, F.; Stender, C.; Porrmann, M.; Hagemeyer, J. (2014): Reconfigurable High Performance Architectures: How much are they ready for safety-critical applications. In: Proceedings of 19th IEEE European Test Symposium (ETS). IEEE. S. 175-182.
    PUB | DOI | Download (ext.)
     
  • [179]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, M.; Ax, J.; Buda, A.; Nussbaum, K.; Hartfiel, J.; Jungeblut, T.; Porrmann, M. (2014): Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen.
    PUB | Download (ext.)
     
  • [178]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698999
    Sorrenti, D.; Cozzi, D.; Korf, S.; Cassano, L.; Hagemeyer, J.; Porrmann, M.; Bernadeschi, C. (2014): Exploiting Dynamic Partial Reconfiguration for On-Line On-Demand Testing of Permanent Faults in Reconfigurable Systems.
    PUB | DOI | Download (ext.)
     
  • [177]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2699005
    Cozzi, D.; Jungewelter, D.; Kleibrink, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Ilstad, J. (2014): AXI-based SpaceFibre IP CORE Implementation. S. 196-201.
    PUB | DOI | Download (ext.)
     
  • [176]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B.; Sievers, G.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2014): CoreVA: A Configurable Resource-efficient VLIW Processor Architecture. In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE. S. 9-16.
    PUB | DOI
     
  • [175]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698930
    Griessl, R.; Peykanu, M.; Hagemeyer, J.; Porrmann, M.; Krupop, S.; Vor dem Berge, M.; Kiesel, T.; Christmann, W. (2014): A Scalable Server Architecture for Next-Generation Heterogeneous Compute Clusters. In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, EUC 2014. IEEE. S. 146-153.
    PUB | DOI | Download (ext.)
     
  • [174]
    2014 | Konferenzbeitrag | PUB-ID: 2681362
    Cassano, L.; Cozzi, D.; Jungewelter, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Bernadeschi, C. (2014): An Inter-Processor Communication Interface for Data-Flow Centric Heterogeneous Embedded Multiprocessor Systems. S. 133-138.
    PUB | DOI | Download (ext.)
     
  • [173]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732260
    Seifried, A.; Trächtler, A.; Kleinjohann, B.; Korf, S.; Porrmann, M.; Heinzemann, C.; Rasche, C.; Sondermann-Woelke, C.; Priesterjahn, C.; Steenken, D.; Rammig, F. - J.; Wehrheim, H.; Kessler, J. H.; Gausemeier, J.; Stahl, K.; Flasskamp, K.; Witting, K.; Kleinjohann, L.; Krüger, M.; Dellnitz, M.; Iwanek, P.; Reinold, P.; Hartmann, P.; Dorociak, R.; Timmermann, R.; Ober-Blöbaum, S.; Groesbrink, S.; Ziegert, S.; Xie, T.; Meyer, T.; Sextro, W.; Schäfer, W.; Müller, W.; Zhao, Y. (2014): Methods of Improving the Dependability of Self-optimizing Systems. In: Jürgen Gausemeier; Franz Josef Rammig; Wilhelm Schäfer; Walter Sextro (Hrsg.): Dependability of Self-Optimizing Mechatronic Systems. Berlin Heidelberg: Springer Verlag. (Lecture Notes in Mechanical Engineering, ). S. 37-171.
    PUB | DOI
     
  • [172]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920470
    Dellnitz, M.; Dumistrescu, R.; Flasskamp, K.; Gausemeier, J.; Korf, S.; Porrmann, M. (2014): The Paradigm of Self-optimization. In: Jürgen Gausemeier; Franz-Josef Rammig; Wilhelm Schäfer (Hrsg.): Design Methodology for Intelligent Technical Systems – Develop Intelligent Technical Systems of the Future. Berlin Heidelberg: Springer. (Lecture notes in mechanical engineering, ). S. 1-25.
    PUB | DOI
     
  • [171]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S.; Jungeblut, T.; Berge, H. K. O.; Aunet, S.; Porrmann, M.; Rückert, U. (2013): A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control IEEE Journal Of Solid-State Circuits,48:(1): 8-19.
    PUB | DOI | WoS
     
  • [170]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S.; Sievers, G.; Ax, J.; Cozzi, D.; Jungeblut, T.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2013): Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In: Jürgen Gausemeier; Roman Dumitrescu; Franz Rammig; Ansgar Trächtler (Hrsg.): Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn. (HNI-Verlagsschriftenreihe, 310). S. 79-90.
    PUB | PDF
     
  • [169]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G.; Christ, P.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications. In: 2013 NORCHIP.
    PUB | DOI
     
  • [168]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P.; Sievers, G.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Pareto-optimal Signal Processing on Low-Power Microprocessors. In: Proceedings of the 12th IEEE International Conference on SENSORS. IEEE. S. 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [167]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2622226
    Sterpone, L.; Porrmann, M.; Hagemeyer, J. (2013): A Novel Fault Tolerant and Runtime Reconfigurable Platform for Satellite Payload Processing IEEE Transactions on Computers,62:(8): 1508-1525.
    PUB | DOI | WoS
     
  • [166]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681289
    Desogus, M.; Sterpone, L.; Porrmann, M.; Hagemeyer, J.; Illstad, J. (2013): Hardening Dynamically Reconfigurable Processing Modules Architectures: A Neutron Test Experience. In: RADECS proceedings. IEEE / Institute of Electrical and Electronics Engineers. (2). S. 13-16.
    PUB
     
  • [165]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681304
    Sterpone, L.; Sabena, D.; Ullah, A.; Porrmann, M.; Hagemeyer, J.; Ilstad, J. (2013): Dynamic neutron testing of Dynamically Reconfigurable Processing Modules architecture. In: Adaptive Hardware and Systems (AHS), 2013 NASA/ESA Conference on. IEEE. S. 184-188.
    PUB | DOI | Download (ext.)
     
  • [164]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T.; Hübener, B.; Porrmann, M.; Rückert, U. (2013): A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors ACM Trans. Embed. Comput. Syst.,13:(2): 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [163]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576042
    Cassano, L.; Cozzi, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Sterpone, L. (2013): On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013. Piscataway, NJ: IEEE. S. 717-720.
    PUB | DOI
     
  • [162]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531
    Lachmair, J.; Merényi, E.; Porrmann, M.; Rückert, U. (2013): A reconfigurable neuroprocessor for self-organizing feature maps Neurocomputing,112:(SI): 189-199.
    PUB | DOI | Download (ext.) | WoS
     
  • [161]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    Romoth, J.; Jungewelter, D.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2012): Optimizing inter-FPGA communication by automatic channel adaptation. In: 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico . Piscataway, NJ: IEEE. S. 1-7.
    PUB | DOI
     
  • [160]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811
    Lachmair, J.; Merenyi, E.; Porrmann, M.; Rückert, U. (2012): gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing Maps. In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. S. 645-650.
    PUB | Download (ext.)
     
  • [159]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2012): A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control. In: Institute of Electrical and Electronics Engineers (Hrsg.): Proc. of the International Solid-State Circuits Conference (ISSCC). Piscataway, NJ: IEEE. S. 484-485.
    PUB | DOI
     
  • [158]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    Hagemeyer, J.; Hilgenstein, A.; Jungewelter, D.; Cozzi, D.; Felicetti, C.; Rückert, U.; Korf, S.; Köster, M.; Margaglia, F.; Porrmann, M.; Dittmann, F.; Ditze, M.; Harris, J.; Sterpone, L.; Ilstad, J. (2012): A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing. In: 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012). Piscataway, NJ: IEEE. S. 9-16.
    PUB | DOI | Download (ext.)
     
  • [157]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493814
    Durelli, G.; Santambrogio, M. D.; Cresci, F.; Porrmann, M.; Sciuto, D. (2012): Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling. In: 19th Reconfigurable Architectures Workshop (RAW 2012).
    PUB | DOI | Download (ext.)
     
  • [156]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T.; Ax, J.; Porrmann, M.; Rückert, U. (2012): A TCMS-based architecture for GALS NoCs. In: IEEE Circuits and Systems Society; Institute of Electrical and Electronics Engineers (Hrsg.): 2012 IEEE International Symposium on Circuits and Systems. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [155]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    Korf, S.; Cozzi, D.; Koester, M.; Hagemeyer, J.; Porrmann, M.; Rückert, U.; Santambrogio, M. D. (2011): Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs. In: Paul Chow (Hrsg.): IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings . Piscataway, NJ: IEEE. S. 125-132.
    PUB | DOI | Download (ext.)
     
  • [154]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493823
    Grawinkel, M.; Schäfers, T.; Brinkmann, A.; Hagemeyer, J.; Porrmann, M. (2011): Evaluation of Applied Intra-Disk Redundancy Schemes to Improve Single Disk Reliability. In: Association for Computing Machinery; Institute of Electrical and Electronics Engineers; Nanyang Technological University (Hrsg.): MASCOTS2011 The 19th Annual Meeting of the IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.. Piscataway, NJ: IEEE. S. 297-306.
    PUB | DOI | Download (ext.)
     
  • [153]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493819
    Sterpone, L.; Margaglia, F.; Köster, M.; Hagemeyer, J.; Porrmann, M. (2011): Analysis of SEU Effects in Partially Reconfigurable SoPCs. In: European Space Agency; Jet Propulsion Laboratory; USA. National Aeronautics and Space Administration; The University of Edinburgh. National Aeronautics and Space Administration (Hrsg.): Proceedings of NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2011). Piscataway, NJ: IEEE. S. 129-136.
    PUB | DOI
     
  • [152]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    Romoth, J.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2011): Fast Design-space Exploration with FPGA Cluster. In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing.
    PUB | Download (ext.)
     
  • [151]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T.; Ax, J.; Sievers, G.; Hübener, B.; Porrmann, M.; Rückert, U. (2011): Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). In: Proc. of the Radar, Communication and Measurement Conference (RADCOM).
    PUB | Dateien verfügbar
     
  • [150]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623
    Nava, F.; Sciuto, D.; Santambrogio, M. D.; Herbrechtsmeier, S.; Porrmann, M.; Witkowski, U.; Rückert, U. (2011): Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms. ACM Transactions on Reconfigurable Technology and Systems (TRETS),4:(3): 1-22.
    PUB | DOI | WoS
     
  • [149]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510
    Griessl, R.; Herbrechtsmeier, S.; Porrmann, M.; Rückert, U. (2011): A Low-Power Vision Processing Platform for Mobile Robots. In: Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures.
    PUB | Download (ext.)
     
  • [148]
    2011 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2494497
    Köster, M.; Hagemeyer, J.; Margaglia, F.; Porrmann, M.; Dittmann, F.; Ditze, M.; Sterpone, L.; Harris, J.; Ilstad, J. (2011): Design Flow for a Fault-Tolerant Reconfigurable Multi-FPGA Architecture for Space Applications. In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing.
    PUB
     
  • [147]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T.; Liß, C.; Porrmann, M.; Rückert, U. (2011): Design-space Exploration for Flexible WLAN Hardware. In: N. Zorba; C. Skianis; C. Verikoukis (Hrsg.): Cross Layer Designs in WLAN Systems. Leicester, UK: Troubador Publishing. S. 521-564.
    PUB
     
  • [146]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2494479
    Pohl, C.; Fuest, R.; Porrmann, M. (2010): vMAGIC – Automatic Code Generation for VHDL newsletter edacentrum,2009: 1-9.
    PUB | DOI
     
  • [145]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493826
    Dittmann, F.; Linke, M.; Hagemeyer, J.; Köster, M.; Lallet, J.; Pohl, C.; Porrmann, M.; Harris, J.; Ilstad, J. (2010): Implementation of a Dynamically Reconfigurable Processing Module for SpaceWire Networks. In: Proceedings of the International SpaceWire Conference 2010. S. 193-196.
    PUB | Download (ext.)
     
  • [144]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472693 OA
    Porrmann, M.; Hagemeyer, J.; Pohl, C.; Romoth, J.; Strugholtz, M. (2010): RAPTOR – A Scalable Platform for Rapid Prototyping and FPGA-based Cluster Computing. In: Parallel Computing: From Multicores and GPU's to Petascale, Advances in Parallel Computing. IOS press. (19). S. 592-599.
    PUB | PDF
     
  • [143]
    2010 | Patent | Veröffentlicht | PUB-ID: 2494087
    Christmann, W.; Strugholtz, M.; Hagemeyer, J.; Porrmann, M. (2010): Mehrprozessor-Computersystem
    PUB
     
  • [142]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622
    Puttmann, C.; Porrmann, M.; Rückert, U. (2010): Extending GigaNoC towards a Dependable Network-on-Chip. In: Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC).
    PUB
     
  • [141]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): Design Space Exploration for Memory Subsystems of VLIW Architectures. In: 5th IEEE International Conference on Networking, Architecture, and Storage. S. 377-385.
    PUB | DOI
     
  • [140]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): A Framework for the Design Space Exploration of Software-Defined Radio Applications. 2nd International ICST Conference on Mobile Lightweight Wireless Systems.
    PUB | Download (ext.)
     
  • [139]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T.; Lütkemeier, S.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): A modular design flow for very large design space explorations. CDNLive! EMEA 2010.
    PUB | Dateien verfügbar
     
  • [138]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T.; Puttmann, C.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography Advances in Radio Science,8: 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [137]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    Koester, M.; Luk, W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2010): Design Optimizations for Tiled Partially Reconfigurable Systems IEEE Transactions on Very Large Scale Integration (VLSI) Systems,19:(6): 1048-1061.
    PUB | DOI | WoS
     
  • [136]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557
    Purnaprajna, M.; Porrmann, M.; Rückert, U.; Hussmann, M.; Thies, M.; Kastens, U. (2010): Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis ACM Transactions on Reconfigurable Technology,3:(3): 1-25.
    PUB | DOI | WoS
     
  • [135]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564
    Puttmann, C.; Porrmann, M.; Grassi, P. R.; Santambrogio, M. D.; Rückert, U. (2010): High Level Specification of Embedded Listeners for Monitoring of Network-on-Chips. In: Proceedings of the IEEE International Symposium on Circuits and Systems. S. 3333-3336.
    PUB | DOI
     
  • [134]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493628
    Pohl, C.; Paiz, C.; Porrmann, M. (2009): vMAGIC - Automatic Code Generation for VHDL International Journal of Reconfigurable Computing, Hindawi Publishing Corporation,,2009:(Article ID 205149): 1-9.
    PUB | DOI | Download (ext.)
     
  • [133]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493880
    Porrmann, M.; Purnaprajna, M.; Puttmann, C. (2009): Self-optimization of MPSoCs Targeting Resource Efficiency and Fault Tolerance. In: European Space Agency; Jet Propulsion Laboratory; The University of Edinburgh (Hrsg.): NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2009). Piscataway, NJ: IEEE. S. 467-473.
    PUB | DOI
     
  • [132]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472673
    Koester, M.; Luk, W.; Hagemeyer, J.; Porrmann, M. (2009): Design Optimizations to Improve Placeability of Partial Reconfiguration Modules. In: European Design Automation Association (Hrsg.): Proceedings of the International Conference on Design, Automation and Test in Europe (DATE 2009). Piscataway, NJ: ACM Press.
    PUB | DOI | Download (ext.)
     
  • [131]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472678
    Porrmann, M.; Hagemeyer, J.; Romoth, J.; Strugholtz, M. (2009): Rapid Prototyping of Next-Generation Multiprocessor SoCs. In: Proceedings of Semiconductor Conference Dresden, SCD 2009. Dresden, Germany.
    PUB
     
  • [130]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472686
    Grassi, P. R.; Santambrogio, M.; Hagemeyer, J.; Pohl, C.; Porrmann, M. (2009): SiLLis: A Simplified Language for Monitoring and Debugging of Reconfigurable Systems. In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '09). Las Vegas, USA. S. 174-180.
    PUB
     
  • [129]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493870
    Grassi, P. R.; Santambrogio, M.; Puttmann, C.; Pohl, C.; Porrmann, M. (2009): A High Level Methodology for Monitoring Network-on-Chips. In: Diagnostic Services in Network-on-Chips (DSNOC 2009), Workshop at Design, Automation and Test in Europe.
    PUB
     
  • [128]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752 OA
    Purnaprajna, M.; Pohl, C.; Porrmann, M.; Rückert, U. (2009): Using Run-time Reconfiguration for Energy Savings in Parallel Data Processing. In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA. S. 119-125.
    PUB | PDF
     
  • [127]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R.; Jungeblut, T.; Thies, M.; Porrmann, M.; Rückert, U.; Kastens, U. (2009): A Synchronization Method for Register Traces of Pipelined Processors. In: Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany. S. 207-217.
    PUB | Download (ext.)
     
  • [126]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    Paiz, C.; Pohl, C.; Radkowski, R.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09). The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE. S. 372-375.
    PUB | DOI
     
  • [125]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493834
    Herath, V.; Peveling, R.; Pfau, T.; Adamczyk, O.; Hoffmann, S.; Wördehoff, C.; Porrmann, M.; Noe, R. (2009): Cipset for a Coherent Polarization-Multiplexed QPSK Receiver. In: Institute of Electrical and Electronics Engineers; Optical Society of America (Hrsg.): Proceedings of OFC/NFOEC 2009. Piscataway, NJ: OSA.
    PUB | DOI
     
  • [124]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772
    Liß, C.; Porrmann, M.; Rückert, U. (2009): InCyte ChipEstimator in Research and Education. In: CDNLive EMEA 2009.
    PUB
     
  • [123]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T.; Klassen, D.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2009): Design Space Exploration for Next Generation Wireless Technologies (invited talk). In: Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009.
    PUB
     
  • [122]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    Pohl, C.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09). Sydney, Australia: IEEE. S. 368-371.
    PUB | DOI
     
  • [121]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493855
    Pfau, T.; Peveling, R.; Herath, V.; Hoffmann, S.; Wördehoff, C.; Adamczyk, O.; Porrmann, M.; Noe, R. (2009): Towards Real-Time Implementation of Coherent Optical Communication. In: Institute of Electrical and Electronics Engineers; Optical Society of America (Hrsg.): Proceedings of OFC/NFOEC 2009. Piscataway, NJ: OSA.
    PUB | DOI
     
  • [120]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494485
    Pohl, C.; Fuest, R.; Porrmann, M. (2009): Manageable Dynamic Reconfiguration with EVE – Extendable VHDL Editor. In: Design Automation and Test in Europe (DATE), University Booth.
    PUB | Download (ext.)
     
  • [119]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144724 OA
    Grassi, P. R.; Pohl, C.; Porrmann, M. (2009): Reconfiguration Viewer. In: Design Automation and Test in Europe, DATE University Booth. Nice, France.
    PUB | PDF
     
  • [118]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870
    Purnaprajna, M.; Porrmann, M.; Rückert, U. (2009): Run-time reconfigurability in embedded multiprocessors ACM SIGARCH Computer Architecture News,37:(2): 30-37.
    PUB | DOI | Download (ext.)
     
  • [117]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782
    Liß, C.; Porrmann, M.; Rückert, U. (2009): Early Exploration of Network Processor Architectures Using Cadence InCyte Chip Estimator. In: CDNLive EMEA 2009.
    PUB
     
  • [116]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    Paiz, C.; Hagemeyer, J.; Pohl, C.; Porrmann, M.; Rückert, U.; Schulz, B.; Peters, W.; Böcker, J. (2009): FPGA-Based Realization of Self-Optimizing Drive-Controllers. In: IEEE Industrial Electronics Society; Institute of Electrical and Electronics Engineers; Keisoku-jidō-seigyō-gakkai; Universidade do Porto (Hrsg.): the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009). Piscataway, NJ: IEEE. S. 2868-2873.
    PUB | PDF | DOI | Download (ext.)
     
  • [115]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942215
    Purnaprajna, M.; Puttmann, C.; Porrmann, M. (2008): Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. In: 2008 Design, Automation and Test in Europe. Piscataway, NJ: IEEE. S. 1462-1467.
    PUB | DOI
     
  • [114]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493929
    Purnaprajna, M.; Puttmann, C.; Porrmann, M. (2008): Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. In: Proceedings of DATE '08: Design, Automation and Test in Europe. ACM. S. 1462-1467.
    PUB | DOI | Download (ext.)
     
  • [113]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494491
    Pohl, C.; Paiz, C.; Porrmann, M. (2008): A Hardware-in-the-Loop Design Environment for FPGAs. In: Design, Automation and Test in Europe (DATE), University Booth.
    PUB
     
  • [112]
    2008 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2493607
    Paiz, C.; Pohl, C.; Porrmann, M. (2008): Hardware-in-the-Loop Simulations for FPGA-Based Digital Control Design. In: Juan Andrade-Cetto; Jean-Luis Ferrier; Jos'e Miguel Costa dias Pereira; Joaquim Filipe (Hrsg.): Informatics in Control, Automation and Robotics. Berlin, Heidelberg: Springer-Verlag. (3). S. 355-372.
    PUB | DOI
     
  • [111]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493900
    Pfau, T.; Wördehoff, C.; Peveling, R.; Ibrahim, S. K.; Hoffmann, S.; Adamczyk, O.; Bhandare, S.; Porrmann, M.; Noe, R.; Porte, H.; Achiam, Y.; Hauden, Y.; Grossard, N.; Schlieder, D.; Koslovsky, A. (2008): Ultra-Fast Adaptive Digital Polarization Control in a Realtime Coherent Polarization-Multiplexed QPSK Receiver. In: Proceedings of OFC/NFOEC 2008.
    PUB | Download (ext.)
     
  • [110]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493648
    El-Darawy, M.; Pfau, T.; Hoffmann, S.; Peveling, R.; Wördehoff, C.; Koch, B.; Porrmann, M.; Adamczyk, O.; Noe, R. (2008): Fast Adaptive Polarization and PDL Tracking in a Real-Time FPGA-Based Coherent PolDM-QPSK Receiver IEEE Photonics Technology Letters,20:(21): 1796-1798.
    PUB | DOI | WoS
     
  • [109]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493667
    Hoffmann, S.; Bhandare, S.; Pfau, T.; Adamczyk, O.; Wördehoff, C.; Peveling, R.; Porrmann, M.; Noe, R. (2008): Frequency and Phase Estimation for Coherent QPSK Transmission With Unlocked DFB Lasers IEEE Photonics Technology Letters,20:(18): 1569-1571.
    PUB | DOI | WoS
     
  • [108]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493890
    Münch, E.; Gambuzza, A.; Paiz, C.; Pohl, C.; Porrmann, M. (2008): FPGA-in-the-Loop Simulations with CAMEL-View. In: Self-optimizing Mechatronic Systems: Design the Future, 7th International Heinz Nixdorf Symposium.. S. 429-445.
    PUB
     
  • [107]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493939
    Puttmann, C.; Shokrollahi, J.; Porrmann, M. (2008): Resource Efficiency of Instruction Set Extensions for Elliptic Curve Cryptography. In: IEEE Computer Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 5th Internation Conference on Information Technology: New Generations, ITNG 2008. Piscataway, NJ: IEEE. S. 131-136.
    PUB | DOI
     
  • [106]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493960
    Pohl, C.; Paiz, C.; Porrmann, M. (2008): vMAGIC – VHDL Manipulation and Automation for Reliable System Development. In: Proceedings of the 3rd International Workshop on Reconfigurable Computing Education (on CD).
    PUB
     
  • [105]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494141
    El-Darawy, M.; Pfau, T.; Wördehoff, C.; Koch, B.; Hoffmann, S.; Peveling, R.; Porrmann, M.; Noe, R. (2008): Realtime 40 krad/s Polarization Tracking with 6 dB PDL in Digital Synchronous Polarization-Multiplexed QPSK Receiver. In: Proceedings of European Conference on Optical Communication (ECOC). IEEE.
    PUB | DOI
     
  • [104]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472725
    Hagemeyer, J.; Koester, M.; Porrmann, M. (2008): Hardware Virtualization Exploiting Dynamically Reconfigurable Architectures. In: 1. GI/ITG KuVS Fachgespräch Virtualisierung. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [103]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493945
    Griese, B.; Brinkmann, A.; Porrmann, M. (2008): SelfS – A Real-Time Protocol for Virtual Ring Topologies. In: IEEE Computer Society. Technical Committee on Parallel Processing; Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Hrsg.): Proceedings of the 16th International Workshop on Parallel and Distributed Real-Time Systems (WPDRTS '08), on CD. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [102]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493957
    Purnaprajna, M.; Porrmann, M. (2008): Run-time Reconfigurable Multiprocessors. In: Proceedings of the 22nd International Parallel and Distributed Processing Symposium (IPDPS 2008), PhD Forum.
    PUB
     
  • [101]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494157
    Purnaprajna, M.; Porrmann, M. (2008): Run-time Reconfigurable Cluster of Processors. In: Proceedings of 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), Workshop on Design, Architecture and Simulation of Chip Multi-Processors, IEEE Computer Society.
    PUB | Download (ext.)
     
  • [100]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2008): Realtime multiprocessor for mobile ad hoc networks Advances in Radio Science,6: 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [99]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493966
    Hoffmann, S.; Pfau, T.; Adamczyk, O.; Wördehoff, C.; Peveling, R.; Porrmann, M.; Noe, R. (2008): Frequency Estimation and Compensation for Coherent QPSK Transmission with DFB Lasers. In: Proc. OSA Topical Meeting Coherent Optical Technologies and Applications (COTA). OSA.
    PUB | DOI | Download (ext.)
     
  • [98]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494096
    Pfau, T.; El-Darawy, M.; Wördehoff, C.; Peveling, R.; Hoffmann, S.; Koch, B.; Adamczyk, O.; Porrmann, M.; Noe, R. (2008): 32-krad/s Polarization and 3-dB PDL Tracking in a Realtime Digital Coherent Polarization-Multiplexed QPSK Receiver. In: IEEE Lasers and Electro-Optics Society (Hrsg.): Proceedings of the 2008 IEEE-LEOS Summer Topical Meetings. Piscataway, NJ: IEEE. S. 105-106.
    PUB | DOI
     
  • [97]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Rückert, U.; Hachmann, U. (2008): Design Space Exploration for Resource Efficient VLIW-Processors. In: University Booth of the Design, Automation and Test in Europe (DATE) conference.
    PUB
     
  • [96]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175 OA
    Puttmann, C.; Shokrollahi, J.; Porrmann, M.; Rückert, U. (2008): Hardware Accelerators for Elliptic Curve Cryptography Advances in Radio Science,6: 259-264.
    PUB | PDF | DOI | Download (ext.)
     
  • [95]
    2008 | Monographie | Veröffentlicht | PUB-ID: 2493583
    Adelt, P.; Donoth, J.; Gausemeier, J.; Geisler, J.; Henkler, S.; Kahl, S.; Klöpper, B.; Krupp, A.; Münch, E.; Oberthür, S.; Paiz, C.; Podlogar, H.; Porrmann, M.; Radkowski, R.; Schulz, C.; Schulz, A.; Schulz, B.; Vöcking, H.; Witkowski, U.; Witting, K.; Znamenshchykov, O. (2008): Selbstoptimierende Systeme des Maschinenbaus – Definitionen, Anwendungen, Konzepte. HNI-Verlagsschriftenreihe.
    PUB
     
  • [94]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493684
    Pfau, T.; Hoffmann, S.; Adamczyk, O.; Peveling, R.; Herath, V.; Porrmann, M.; Noe, R. (2008): Coherent optical communication: Towards realtime systems at 40 Gbit/s and beyond Optics Express,16:(2): 866-872.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [93]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494113
    Noe, R.; Hoffmann, S.; Pfau, T.; Adamczyk, O.; Herath, V.; Peveling, R.; Porrmann, M. (2008): Realtime digital polarization and carrier recovery in a polarization-multiplexed optical QPSK transmission. In: IEEE Lasers and Electro-Optics Society (Hrsg.): Proceedings of the 2008 IEEE/LEOS Summer Topical Meetings. Piscataway, NJ: IEEE. S. 99-100.
    PUB | DOI
     
  • [92]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362
    Puttmann, C.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2007): GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-Multiprocessors. In: 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007). Piscataway, NJ: IEEE. S. 495-502.
    PUB | DOI | Download (ext.)
     
  • [91]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472738
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): Design of Homogeneous Communication Infrastructures for Partially Reconfigurable FPGAs. In: Proc. of the Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA '07). Las Vegas, USA.
    PUB | Download (ext.)
     
  • [90]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494198
    Paiz, C.; Porrmann, M. (2007): The Utilization of Reconfigurable Hardware to Implement Digital Controllers: a Review. In: Proceedings of the IEEE International Symposium on Industrial Electronics. IEEE. S. 2380-2385.
    PUB | DOI
     
  • [89]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472729
    Schulz, B.; Paiz, C.; Hagemeyer, J.; Mathapati, S.; Porrmann, M.; Böcker, J. (2007): Run-Time Reconfiguration of FPGA-Based Drive Controllers. In: European Conference on Power Electronics and Applications (EPE 2007). Aalborg, Denmark: IEEE.
    PUB | DOI
     
  • [88]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472743
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGAS. In: IEEE Circuits and Systems Society; Technische Universiteit Delft (Hrsg.): Proceedings of the 17th International Conference on Field Programmable Logic and Applications (FPL). Amsterdam, Netherlands: IEEE. S. 331-338.
    PUB | DOI
     
  • [87]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472748
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): INDRA – Integrated Design Flow for Reconfigurable Architectures. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth.
    PUB | Download (ext.)
     
  • [86]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033 OA
    Hussmann, M.; Thies, M.; Kastens, U.; Purnaprajna, M.; Porrmann, M.; Rückert, U. (2007): Compiler-Driven Reconfiguration of Multiprocessors. In: Proceedings of the Workshop on Application Specific Processors (WASP) 2007.
    PUB | PDF | Download (ext.)
     
  • [85]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2007): Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007.
    PUB | Download (ext.)
     
  • [84]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494165
    Paiz, C.; Kettelhoit, B.; Porrmann, M. (2007): A design framework for FPGA-based dynamically reconfigurable digital controllers. In: Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS2007). Piscataway, NJ: IEEE. S. 3709-3711.
    PUB | DOI
     
  • [83]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494230
    Pfau, T.; Peveling, R.; Hoffmann, S.; Bhandare, S.; Ibrahim, S. K.; Sandel, D.; Adamczyk, O.; Porrmann, M.; Noe, R.; Achiam, Y.; Schlieder, D.; Koslovsky, A.; Benarush, Y.; Hauden, Y.; Grossard, N.; Porte, H. (2007): PDL-Tolerant Real-time Polarization-Multiplexed QPSK Transmission with Digital Coherent Polarization Diversity Receiver. In: IEEE Lasers and Electro-Optics Society (Hrsg.): Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings. Piscataway, NJ: IEEE. S. 17-18.
    PUB | DOI
     
  • [82]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494285
    Pfau, T.; Peveling, R.; Samson, F.; Romoth, J.; Hoffmann, S.; Bhandare, S.; Ibrahim, S. K.; Sandel, D.; Adamczyk, O.; Porrmann, M.; Noe, R.; Hauden, Y.; Grossard, N.; Porte, H.; Schlieder, D.; Koslovsky, A.; Benarush, Y.; Achiam, Y. (2007): Polarization-Multiplexed 2.8 Gbit/s Synchronous QPSK Transmission with Real-Time Digital Polarization Tracking. In: Proceedings of ECOC. IEE. (3). S. 263-264.
    PUB | DOI | Download (ext.)
     
  • [81]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494514
    Porrmann, M. (2007): Flexible Hardware Platforms for Dynamic Reconfiguration. In: Invited Talk at the 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop.
    PUB
     
  • [80]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993
    Rana, V.; Santambrogio, M.; Sciuto, D.; Kettelhoit, B.; Koester, M.; Porrmann, M.; Rückert, U. (2007): Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. In: IEEE Computer Society. Technical Committee on Parallel Processing; Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Hrsg.): Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society.. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [79]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493699
    Pfau, T.; Peveling, R.; Hauden, Y.; Grossard, N.; Porte, H.; Achiam, Y.; Hoffmann, S.; Ibrahim, S. K.; Adamczyk, O.; Bhandare, S.; Sandel, D.; Porrmann, M.; Noe, R. (2007): Coherent Digital Polarization Diversity Receiver for Real-Time Polarization-Multiplexed QPSK Transmission at 2.8 Gb/s Photonics Technology Letters, IEEE,19:(24): 1988-1990.
    PUB | DOI | WoS
     
  • [78]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494159
    Pohl, C.; Paiz, C.; Porrmann, M. (2007): Hardware-in-the-Loop Entwicklungsumgebung fuer informationsverarbeitende Komponenten mechatronischer Systeme. In: 5. Paderborner Workshop Entwurf mechatronischer Systeme. S. 69-79.
    PUB
     
  • [77]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494262
    Pfau, T.; Adamczyk, O.; Herath, V.; Peveling, R.; Hoffmann, S.; Porrmann, M.; Noe, R. (2007): Realtime Optical Synchronous QPSK Transmission with DFB lasers. In: IEEE Lasers and Electro-Optics Society (Hrsg.): Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings. Piscataway, NJ: IEEE. S. 15-16.
    PUB | DOI
     
  • [76]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016
    Niemann, J. - C.; Puttmann, C.; Porrmann, M.; Rückert, U. (2007): Resource efficiency of the GigaNetIC chip multiprocessor architecture Journal of System Architecture,53:(5-6): 285-299.
    PUB | DOI | WoS
     
  • [75]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494202
    Noe, R.; Pfau, T.; Adamczyk, O.; Peveling, R.; Herath, V.; Hoffmann, S.; Porrmann, M.; Ibrahim, S. K.; Bhandare, S. (2007): Real-time Digital Carrier & Data Recovery for a Synchronous Optical Quadrature Phase Shift Keying Transmission System. In: IEEE Microwave Theory and Techniques Society (Hrsg.): Proceedings of System Microwave Symposium. IEEE/MTT-S International. Piscataway, NJ: IEEE. S. 1503-1506.
    PUB | DOI
     
  • [74]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494512
    Porrmann, M. (2007): A Layer-Model Based Methodology for the Design of Dynamically Reconfigurable Systems. Invited Talk. In: 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop.
    PUB
     
  • [73]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049
    Niemann, J. - C.; Liß, C.; Porrmann, M.; Rückert, U. (2007): A Multiprocessor Cache for Massively Parallel SoC Architectures. In: Paul Lukowicz (Hrsg.): ARCS'07: Architecture of Computing Systems. Zurich, Switzerland: Springer Berlin Heidelberg. (Lecture Notes in Computer Science, 4415). S. 83-97.
    PUB | DOI
     
  • [72]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724
    Köster, M.; Kalte, H.; Porrmann, M.; Rückert, U. (2007): Defragmentation Algorithms for Partially Reconfigurable Hardware VLSI-SoC: From Systems to Silicon,240: 41-53.
    PUB | DOI
     
  • [71]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494328
    Hoffmann, S.; Pfau, T.; Adamczyk, O.; Peveling, R.; Porrmann, M.; Noe, R. (2006): Hardware-Efficient and Phase Noise Tolerant Digital Synchronous QPSK Receiver Concept. In: Proceedings Optical Amplifiers and Their Applications/Coherent Optical Technologies and Applications. Optical Society of America.
    PUB | DOI | Download (ext.)
     
  • [70]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494326
    Kalte, H.; Porrmann, M. (2006): REPLICA2Pro: Task Relocation by Bitstream Manipulation in VIRTEX-II/Pro FPGAs. In: Monica Alderighi (Hrsg.): Proceedings of the 3rd Conference on Computing Frontiers. New York: ACM. S. 403-412.
    PUB | DOI | Download (ext.)
     
  • [69]
    2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718
    Porrmann, M.; Witkowski, U.; Rückert, U. (2006): Implementation of Self-Organizing Feature Maps in Reconfigurable Hardware. In: Amos Omondi; Jagath Rajapakse (Hrsg.): FPGA Implementations of Neural Networks. Boston, MA: Springer. S. 247-269.
    PUB | DOI
     
  • [68]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494321
    Porrmann, M.; Niemann, J. - C. (2006): Teaching Reconfigurable Computing Theory and Practice. In: International Workshop on Reconfigurable Computing Education (on CD).
    PUB
     
  • [67]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494340
    Koester, M.; Kalte, H.; Porrmann, M. (2006): Relocation and Defragmentation for Heterogeneous Reconfigurable Systems. In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '06). CSREA Press. S. 70-76.
    PUB
     
  • [66]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494364
    Kettelhoit, B.; Porrmann, M. (2006): A Layer Model for Systematically Designing Dynamically Reconfigurable Systems. In: IEEE Circuits and Systems Society; Escuela Politécnica Superior (Madrid) (Hrsg.): Proceedings of the 16th International Conference on Field Programmable Logic and Applications. Piscataway, NJ: IEEE. S. 547-552.
    PUB | DOI
     
  • [65]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494390
    Pfau, T.; Hoffmann, S.; Peveling, R.; Bhandare, S.; Adamczyk, O.; Porrmann, M.; Noe, R.; Achiam, Y. (2006): 1.6 Gbit/s Real-Time Synchronous QPSK Transmission with Standard DFB Lasers. In: Proceedings of the 32nd European Conference on Optical Communication (ECOC 2006). IEEE.
    PUB | DOI
     
  • [64]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2473942
    Hagemeyer, J.; Kettelhoit, B.; Porrmann, M. (2006): Dedicated Module Access in Dynamically Reconfigurable Systems. In: Association for Computing Machinery (Hrsg.): Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS). Washington, DC: IEEE. (ACM Digital Library, ). S. 1.
    PUB | DOI
     
  • [63]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494374
    Griese, B.; Kettelhoit, B.; Porrmann, M. (2006): Evaluation of on-chip interfaces for dynamically reconfigurable coprocessors. In: IEEE Computer Society. Technical Committee on Parallel Processing; Institute of Electrical and Electronics Engineers. Poland Section (Hrsg.): Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering. Los Alamitos, Calif. : IEEE. S. 214-219.
    PUB | DOI
     
  • [62]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494380
    Sauer, C.; Gries, M.; Niemann, J. - C.; Porrmann, M.; Thies, M. (2006): Application-driven Development of Concurrent Packet Processing Platforms. In: Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering. S. 55-61.
    PUB | Download (ext.)
     
  • [61]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494405
    Paiz, C.; Chinapirom, T.; Witkowski, U.; Porrmann, M. (2006): Dynamically Reconfigurable Hardware for Autonomous Mini-Robots. In: 32nd Annual Conference of the IEEE Industrial Electronics Society (IECON-2006). IEEE. S. 3981-3986.
    PUB | DOI
     
  • [60]
    2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493726
    Pfau, T.; Hoffmann, S.; Peveling, R.; Bhandare, S.; Ibrahim, S. K.; Adamczyk, O.; Porrmann, M.; Noe, R.; Achiam, Y. (2006): First Real-Time Data Recovery for SynchroneusQPSK Transmission with Standard DFB Lasers IEEE PHOTONICS TECHNOLOGY LETTERS,18:(18): 1907-1909.
    PUB | DOI | WoS
     
  • [59]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494368
    Paiz, C.; Pohl, C.; Porrmann, M. (2006): Reconfigurable Hardware in-the-Loop Simulations for Digital Control Design. In: 3th International Conference on Informatics in Control, Automation and Robotics (ICINCO). S. 39-46.
    PUB
     
  • [58]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961
    Niemann, J. - C.; Puttmann, C.; Porrmann, M.; Rückert, U. (2006): GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications. In: Werner Grass (Hrsg.): ARCS'06 Architecture of Computing Systems. Berlin, Heidelberg: Springer Berlin Heidelberg. (Lecture notes in computer science, 3894). S. 268-282.
    PUB | DOI
     
  • [57]
    2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493754
    Pfau, T.; Hoffmann, S.; Peveling, R.; Ibrahim, S. K.; Adamczyk, O.; Porrmann, M.; Bhandare, S.; Noe, R.; Achiam, Y. (2006): Synchronous QPSK transmission at 1.6 Gbit/s with standard DFB lasers and real-time digital receiver IEEE Electronic Letters,42:(20): 1175-1176.
    PUB | DOI | WoS
     
  • [56]
    2006 | Patent | Veröffentlicht | PUB-ID: 2494093
    Niemann, J. - C.; Sauer, C.; Porrmann, M.; Rückert, U. (2006): Flexible Beschleunigungseinheit für die Verarbeitung von Datenpaketen
    PUB
     
  • [55]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494346
    Hoffmann, S.; Pfau, T.; Peveling, R.; Bhandare, S.; Adamczyk, O.; Porrmann, M.; Noe, R. (2006): Synchrone 1,6-Gbits-QPSK-Datenübertragung in Echtzeit mit DFB-Lasern. In: Workshop der ITG Fachgruppe 5.3.1, Modellierung photonischer Komponenten und Systeme. S. 21-27.
    PUB
     
  • [54]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494360
    Griese, B.; Porrmann, M. (2006): A Reconfigurable Ethernet Switch for Self-Optimizing Communication Systems. In: Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006). Springer US. S. 115-125.
    PUB | DOI
     
  • [53]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278
    Jäger, B.; Porrmann, M.; Rückert, U. (2006): Bio-inspired massively parallel architectures for nanotechnologies. In: IEEE Circuits and Systems Society (Hrsg.): Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006).. Piscataway, NJ: IEEE. S. 1961-1964.
    PUB | DOI
     
  • [52]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969 OA
    Sauer, C.; Gries, M.; Dirk, S.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2006): A Lightweight NoC for the NOVA Packet Processing Plattform. In: Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop. Munich, Germany.
    PUB | PDF | Download (ext.)
     
  • [51]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882 OA
    Eickhoff, R.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2005): Adaptable Switch boxes as on-chip routing nodes for networks-on-chip. In: Achim Rettberg; Mauro C. Zanella; Franz Josef Rammig (Hrsg.): From Specification to Embedded Systems Application . Boston, MA: Springer. (IFIP On-Line Library in Computer Science, 184). S. 201-210.
    PUB | PDF | DOI | Download (ext.)
     
  • [50]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494412
    Griese, B.; Oberthür, S.; Porrmann, M. (2005): Component case study of a self-optimizing RCOS/RTOS system. A reconfigurable network service. In: Achim Rettberg; Mauro C. Zanella; Franz Josef Rammig (Hrsg.): From Specification to Embedded Systems Application. Boston, MA: Springer. ( IFIP On-Line Library in Computer Science , 184). S. 267-277.
    PUB | DOI | Download (ext.)
     
  • [49]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910
    Liß, C.; Peveling, R.; Porrmann, M.; Rückert, U. (2005): Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-Roadmap. In: Symposium fuer Vorausschau und Technologieplanung. Berlin, Germany. S. 87-103.
    PUB
     
  • [48]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494437
    Koester, M.; Kalte, H.; Porrmann, M. (2005): Task Placement for Heterogeneous Reconfigurable Architectures. In: IEEE Circuits and Systems Society. Singapore Chapter; IEEE Electron Devices Society. Singapore Chapter; Institute of Electrical and Electronics Engineers. Singapore Section; School of Computing (Singapur). Singapore Section (Hrsg.): Proceedings of the IEEE 2005 Conference on Field-Programmable Technology (FPT '05). Piscataway, NJ: IEEE. S. 43-50.
    PUB | DOI
     
  • [47]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494424
    Kalte, H.; Porrmann, M. (2005): Context Saving and Restoring for Multitasking in Reconfigurable Systems. In: IEEE Circuits and Systems Society; Tampereen Teknillinen Yliopisto (Hrsg.): 15th International Conference on Field Programmable Logic and Applications. Piscataway, NJ: IEEE. S. 223-228.
    PUB | DOI
     
  • [46]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494429
    Koester, M.; Kalte, H.; Porrmann, M. (2005): Run-Time Defragmentation for Partially Reconfigurable Systems. In: Proceedings of the International Conference on Very Large Scale Integration (IFIP VLSI-SOC). S. 109-115.
    PUB
     
  • [45]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900
    Kettelhoit, B.; Klassen, A.; Paiz, C.; Porrmann, M.; Rückert, U. (2005): Rekonfigurierbare Hardware zur Regelung mechatronischer Systeme. In: 3. Paderborner Workshop: Intelligente mechatronische Systeme. S. 195-205.
    PUB
     
  • [44]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050
    Koester, M.; Porrmann, M.; Rückert, U. (2005): Placement-Oriented Modeling of Partially Reconfigurable Architectures. In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.
    PUB | Download (ext.)
     
  • [43]
    2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286
    Grünewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2005): A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In: Patrick Crowely; Mark A. Franklin; Haldun Hadimioglu; Peter Z. Onufryk (Hrsg.): Network Processor Design: Issues and Practices. Morgan Kaufmann Publisher. (3). S. 245-277.
    PUB | DOI
     
  • [42]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853 OA
    Niemann, J. - C.; Porrmann, M.; Sauer, C.; Rückert, U. (2005): An Evaluation of the Scalable GigaNetIC Architecture for Access Networks. In: Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005).
    PUB | PDF
     
  • [41]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944
    Paiz, C.; Kettelhoit, B.; Klassen, A.; Porrmann, M.; Rückert, U. (2005): Dynamically reconfigurable hardware for digital controllers in mechatronic systems. In: IEEE Industrial Electronics Society (Hrsg.): IEEE International Conference on Mechatronics (ICM 2005). Piscataway, NJ: IEEE. S. 675-680.
    PUB | DOI
     
  • [40]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829
    Kettelhoit, B.; Kalte, H.; Porrmann, M.; Rückert, U. (2005): Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic Systems. In: 5. GMM/ITG/GI-Workshop Multi-Nature Systems. S. 97-101.
    PUB
     
  • [39]
    2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654
    Kalte, H.; Kettelhoit, B.; Koester, M.; Porrmann, M.; Rückert, U. (2005): A System Approach for Partially Reconfigurable Architectures International Journal of Embedded Systems (IJES), Inderscience Publisher,1:(3/4): 274-290.
    PUB | DOI
     
  • [38]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119
    Kalte, H.; Lee, G.; Porrmann, M.; Rückert, U. (2005): REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems. In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.. IEEE.
    PUB | DOI
     
  • [37]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309
    Niemann, J. - G.; Porrmann, M.; Rückert, U. (2005): A scalable parallel SoC architecture for network processors. In: VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on. IEEE. S. 311-313.
    PUB | DOI
     
  • [36]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494463
    Hagen, G.; Niemann, J. - C.; Porrmann, M.; Sauer, C.; Slowik, A.; Thies, M. (2004): Developing an IP-DSLAM Benchmark for Network Processor Units. In: ANCHOR 2004, Advanced Networking and Communications Hardware Workshop, held in conjunction with the 31st Annual International Symposium on Computer Architecture (ISCA 2004).
    PUB
     
  • [35]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912
    Vonnahme, E.; Griese, G.; Porrmann, M.; Rückert, U. (2004): Dynamic Reconfiguration of Real-Time Network Interfaces. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 376-379.
    PUB | DOI
     
  • [34]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708
    Kalte, H.; Porrmann, M.; Rückert, U. (2004): Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer Hardware. In: ARCS 2004 – Organic and Pervasive Computing. S. 234-244.
    PUB
     
  • [33]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760
    Vonnahme, E.; Griese, B.; Porrmann, M.; Rückert, U. (2004): Dynamische Rekonfiguration echtzeitfähiger Netzwerkschnittstellen. In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'. Berlin, Germany: VDE Verlag. S. 99-104.
    PUB
     
  • [32]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101
    Grunewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): A mapping strategy for resource-efficient network processing on multiprocessor SoCs. In: European Design Automation Association (Hrsg.): Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings. Los Alamitos, Calif. : IEEE Comput. Soc. (2). S. 758-763.
    PUB | DOI
     
  • [31]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233
    Kalte, H.; Lee, G.; Porrmann, M.; Rückert, U. (2004): Study on column wise design compaction for reconfigurable systems. In: IEEE Electron Devices Society; School of Information Technology and Electrical Engineering (Hrsg.): Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. Piscataway, NJ: IEEE. S. 413-416.
    PUB | DOI
     
  • [30]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776
    Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): Parallele Architekturen für Netzwerkprozessoren. In: Ambient Intelligence, VDE Kongress. VDE Verlag. (1). S. 105-110.
    PUB | Download (ext.)
     
  • [29]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730
    Kalte, H.; Koester, M.; Kettelhoit, B.; Porrmann, M.; Rückert, U. (2004): A Comparative Study on System Approaches for Partially Reconfigurable Architectures. In: Toomas Plaks (Hrsg.): Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04). Las Vegas, Nevada, USA: CSREA Press. S. 70-76.
    PUB
     
  • [28]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742
    Griese, B.; Vonnahme, E.; Porrmann, M.; Rückert, U. (2004): Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures. In: Jürgen Becker (Hrsg.): Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004). Antwerp, Belgium: Springer Berlin Heidelberg. (Lecture notes in computer science, 3203). S. 842-846.
    PUB | DOI
     
  • [27]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146
    Grunewald, M.; Le, D. K.; Kastens, U.; Niemann, J. - C.; Porrmann, M.; Rückert, U.; Slowik, A.; Thies, M. (2004): Network application driven instruction set extensions for embedded processing clusters. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 209-214.
    PUB | DOI
     
  • [26]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700
    Grünewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In: Proceedings of the 3rd Workshop on Network Processors & Applications. Madrid, Spain. S. 87-101.
    PUB
     
  • [25]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942
    Franzmeier, M.; Pohl, C.; Porrmann, M.; Rückert, U. (2004): Hardware Accelerated Data Analysis. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 309-314.
    PUB | DOI
     
  • [24]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356
    Kalte, H.; Porrmann, M.; Rückert, U. (2004): System-on-programmable-chip approach enabling online fine-grained 1D-placement. In: Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International. IEEE. S. 141.
    PUB | DOI
     
  • [23]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138
    Pohl, C.; Franzmeier, M.; Porrmann, M.; Rückert, U. (2004): gNBX - reconfigurable hardware acceleration of self-organizing maps. In: IEEE Electron Devices Society; School of Information Technology and Electrical Engineering (Hrsg.): Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. Piscataway, NJ: IEEE. S. 97-104.
    PUB | DOI
     
  • [22]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024
    Bonorden, O.; Bruls, N.; Kastens, U.; Le, D. K.; Heide auf der, F. M.; Niemann, J. - C.; Porrmann, M.; Rückert, U.; Slowik, A.; Thies, M. (2003): A holistic methodology for network processor design. In: Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on. IEEE. S. 583-592.
    PUB | DOI
     
  • [21]
    2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324
    Porrmann, M.; Witkowski, U.; Rückert, U. (2003): A Massively Parallel Architecture for Self-Organizing Feature Maps IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations,14:(5): 1110-1121.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [20]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575
    Kalte, H.; Porrmann, M.; Rückert, U. (2002): A Prototyping Platform for Dynamically Reconfigurable System on Chip Designs. In: Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany.
    PUB
     
  • [19]
    2002 | Monographie | Veröffentlicht | PUB-ID: 2493620
    Porrmann, M. (2002): Leistungsbewertung eingebetteter Neurocomputersysteme. Dissertation. Paderborn: HNI-Verlagsschriftenreihe, Heinz Nixdorf Institut, Schaltungstechnik.
    PUB
     
  • [18]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603 OA
    Porrmann, M.; Franzmeier, M.; Kalte, H.; Witkowski, U.; Rückert, U. (2002): A Reconfigurable SOM Hardware Accelerator. 10th European Symposium on Artificial Neural Networks. S. 337-342.
    PUB | PDF
     
  • [17]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565 OA
    Langen, D.; Niemann, J. - C.; Porrmann, M.; Kalte, H.; Rückert, U. (2002): Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC Implementation. In: Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany.
    PUB | PDF
     
  • [16]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589
    Porrmann, M.; Witkowski, U.; Kalte, H.; Rückert, U. (2002): Dynamically Reconfigurable Hardware – A New Perspective for Neural Network Implementations. In: Manfred Glesner (Hrsg.): Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002). Montpellier, France: Springer Berlin Heidelberg. (Lecture notes in computer science, 2438). S. 1048-1057.
    PUB | DOI
     
  • [15]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896
    Porrmann, M.; Witkowski, U.; Kalte, H.; Rückert, U. (2002): Implementation of artificial neural networks on a reconfigurable hardware accelerator. In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc. S. 243-250.
    PUB | DOI
     
  • [14]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966
    Brinkmann, A.; Niemann, J. - C.; Hehemann, I.; Langen, D.; Porrmann, M.; Rückert, U. (2002): On-chip interconnects for next generation system-on-chips. In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE. S. 211-215.
    PUB | DOI
     
  • [13]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549
    Porrmann, M.; Rüping, S.; Rückert, U. (2001): The Impact of Communication on Hardware Accelerators for Neural Networks. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI). Orlando, Florida, USA. (3). S. 248-253.
    PUB
     
  • [12]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555 OA
    Niemann, J. - C.; Witkowski, U.; Porrmann, M.; Rückert, U. (2001): Extension Module for Application-Specific Hardware on the Minirobot Khepera. In: Autonomous Minirobots for Research and Edutainment (AMiRE 2001). Paderborn, Germany. S. 279-288.
    PUB | PDF
     
  • [11]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526
    Porrmann, M.; Rückert, U.; Landmann, J.; Marks, K. M. (2001): XipChip – A Multiprocessor CPU for Multifunction Peripherals. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI). Orlando, Florida, USA. (15). S. 512-517.
    PUB
     
  • [10]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539
    Porrmann, M.; Kalte, H.; Witkowski, U.; Niemann, J. - C.; Rückert, U. (2001): A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature Maps. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001. Orlando, Florida, USA. (3). S. 242-247.
    PUB
     
  • [9]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566
    Kalte, H.; Porrmann, M.; Rückert, U. (2000): Rapid Prototyping System für dynamisch rekonfigurierbare Hardwarestrukturen. In: Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000). Karlsruhe, Germany. S. 149-157.
    PUB
     
  • [8]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572
    Kalte, H.; Porrmann, M.; Rückert, U. (2000): Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D Graphics. In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000). Monte Carlo Resort, Las Vegas, Nevada, USA. (5). S. 2819-2824.
    PUB
     
  • [7]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315
    Porrmann, M.; Ruping, S.; Rückert, U. (1999): SOM hardware with acceleration module for graphical representation of the learning process. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 380-386.
    PUB | DOI
     
  • [6]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468
    Porrmann, M.; Heittmann, A.; Rüping, S.; Rückert, U. (1998): A Hybrid Knowledge Processing System. In: Proceedings of the Conference Neural Networks and their Applications (NEURAP). Marseille, France. S. 177-184.
    PUB
     
  • [5]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285592
    Rüping, S.; Porrmann, M.; Rückert, U. (1998): SOM Accelerator System Neurocomputing,21: 31-50.
    PUB | Download (ext.)
     
  • [4]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384 OA
    Rüping, S.; Porrmann, M.; Rückert, U. (1997): A High Performance SOFM Hardware-System. In: Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97). Lanzarote, Spain. S. 772-781.
    PUB | PDF | Download (ext.)
     
  • [3]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402 OA
    Rüping, S.; Porrmann, M.; Rückert, U. (1997): SOM Hardware-Accelerator. In: Workshop on Self-Organizing Maps (WSOM). Espoo, Finnland. S. 136-141.
    PUB | PDF | Download (ext.)
     
  • [2]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241
    Porrmann, M.; Landmann, J.; Marks, K. M.; Rückert, U. (1997): HIBRIC-MEM, a Memory Controller for PowerPC Based Systems. In: Proceedings of the 23rd EUROMICRO Conference. Budapest, Ungarn: IEEE Comput. Soc. S. 653-663.
    PUB | DOI
     
  • [1]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575
    Palm, G.; Rückert, U.; Porrmann, M.; Schwenker, F. (1996): Neuronale Assoziativspeicher. In: Neuroinformatik Statusseminar. S. 419-432.
    PUB
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung