11 Publikationen

Alle markieren

  • [11]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2985997 OA
    Flasskamp, M., Klarhorst, C., Hagemeyer, J.: Trustworthy System-on-Chip by monitoring system behavior at runtime. In: Restuccia, F., Seyoum, B., Rossi, D., and Benini, L. (eds.) Proceedings of the 1st Safety and Security in Heterogeneous Open System-on-Chip Platforms Workshop (SSH-SoC 2023). (2023).
    PUB | PDF | Download (ext.)
     
  • [10]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    Gayen, N., Ax, J., Flasskamp, M., Klarhorst, C., Jungeblut, T., Tang, M., Kelly, W.: Scalable Mapping of Streaming Applications onto MPSoCs Using Optimistic Mixed Integer Linear Programming. 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018). p. 348-352. IEEE, Piscataway, NJ (2018).
    PUB | DOI
     
  • [9]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J., Sievers, G., Daberkow, J., Flasskamp, M., Vohrmann, M., Jungeblut, T., Kelly, W., Porrmann, M., Rückert, U.: CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories. IEEE Transactions on Parallel and Distributed Systems. 29, 1030-1043 (2018).
    PUB | PDF | DOI | WoS
     
  • [8]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C., Flasskamp, M., Ax, J., Jungeblut, T., Kelly, W., Porrmann, M., Rückert, U.: Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom (2018).
    PUB
     
  • [7]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G., Hübener, B., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M.: The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In: Hussain, W., Nurmi, J., Isoaho, J., and Garzia, F. (eds.) Computing Platforms for Software-Defined Radio. p. 29--59. Springer International Publishing, Cham, Switzerland (2017).
    PUB | DOI
     
  • [6]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M., Sievers, G., Ax, J., Klarhorst, C., Jungeblut, T., Kelly, W., Thies, M., Porrmann, M.: Performance Estimation of Streaming Applications for Hierarchical MPSoCs. Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). p. 1. ACM Press, New York, NY (2016).
    PUB | PDF | DOI
     
  • [5]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J., Sievers, G., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M.: System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). p. 3-8. ACM, New York, NY, USA (2015).
    PUB | PDF | DOI
     
  • [4]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, J., Flasskamp, M., Sievers, G., Klarhorst, C., Jungeblut, T., Kelly, W.: An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0). (2015).
    PUB | PDF
     
  • [3]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., Rückert, U.: Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. 2015 IEEE International Symposium on Circuits & Systems (ISCAS). p. 1925-1928. IEEE (2015).
    PUB | DOI | Download (ext.)
     
  • [2]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., Rückert, U.: Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). p. 175-181. IEEE (2015).
    PUB | DOI
     
  • [1]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, W., Flasskamp, M., Sievers, G., Ax, J., Chen, J., Klarhorst, C., Ragg, C., Jungeblut, T., Sorensen, A.: A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC. International Symposium on System-on-Chip (SoC). IEEE, Tampere, Finland (2014).
    PUB | DOI | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung