11 Publikationen

Alle markieren

  • [11]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2985997 OA
    Flasskamp, M.; Klarhorst, C.; Hagemeyer, J. (2023): Trustworthy System-on-Chip by monitoring system behavior at runtime. In: Francesco Restuccia; Biruk Seyoum; Davide Rossi; Luca Benini (Hrsg.): Proceedings of the 1st Safety and Security in Heterogeneous Open System-on-Chip Platforms Workshop (SSH-SoC 2023).
    PUB | PDF | Download (ext.)
     
  • [10]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    Gayen, N.; Ax, J.; Flasskamp, M.; Klarhorst, C.; Jungeblut, T.; Tang, M.; Kelly, W. (2018): Scalable Mapping of Streaming Applications onto MPSoCs Using Optimistic Mixed Integer Linear Programming. In: 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018). Piscataway, NJ: IEEE. S. 348-352.
    PUB | DOI
     
  • [9]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J.; Sievers, G.; Daberkow, J.; Flasskamp, M.; Vohrmann, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories IEEE Transactions on Parallel and Distributed Systems,29:(5): 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [8]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C.; Flasskamp, M.; Ax, J.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems.
    PUB
     
  • [7]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G.; Hübener, B.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2017): The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In: Waqar Hussain; Jari Nurmi; Jouni Isoaho; Fabio Garzia (Hrsg.): Computing Platforms for Software-Defined Radio. Cham, Switzerland: Springer International Publishing. S. 29--59.
    PUB | DOI
     
  • [6]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M.; Sievers, G.; Ax, J.; Klarhorst, C.; Jungeblut, T.; Kelly, W.; Thies, M.; Porrmann, M. (2016): Performance Estimation of Streaming Applications for Hierarchical MPSoCs. In: Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). New York, NY: ACM Press. S. 1.
    PUB | PDF | DOI
     
  • [5]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J.; Sievers, G.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2015): System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. In: Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). New York, NY, USA: ACM. S. 3-8.
    PUB | PDF | DOI
     
  • [4]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, J.; Flasskamp, M.; Sievers, G.; Klarhorst, C.; Jungeblut, T.; Kelly, W. (2015): An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0).
    PUB | PDF
     
  • [3]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G.; Ax, J.; Kucza, N.; Flasskamp, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2015): Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE. S. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [2]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G.; Daberkow, J.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE. S. 175-181.
    PUB | DOI
     
  • [1]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, W.; Flasskamp, M.; Sievers, G.; Ax, J.; Chen, J.; Klarhorst, C.; Ragg, C.; Jungeblut, T.; Sorensen, A. (2014): A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC. In: International Symposium on System-on-Chip (SoC). Tampere, Finland: IEEE.
    PUB | DOI | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung