222 Publikationen

Alle markieren

  • [222]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982048
    Mika, K., Griessl, R., Kucza, N., Porrmann, F., Kaiser, M., Tigges, L., Hagemeyer, J., et al. (2023). VEDLIoT. Next generation accelerated AIoT systems and applications. CF '23: Proceedings of the 20th ACM International Conference on Computing Frontiers, 291-296. New York, NY: ACM. https://doi.org/10.1145/3587135.3592175
    PUB | DOI
     
  • [221]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756 OA
    Meyer, H. G., Klimeck, D., Paskarbeit, J., Rückert, U., Egelhaaf, M., Porrmann, M., & Schneider, A. (2020). Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR. PloS one, 15(4). https://doi.org/10.1371/journal.pone.0230620
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [220]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2019). Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Sensors, 19(3), 616. doi:10.3390/s19030616
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [219]
    2019 | Datenpublikation | PUB-ID: 2939390 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2019). Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Bielefeld University. doi:10.4119/unibi/2939390
    PUB | Dateien verfügbar | DOI
     
  • [218]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920469
    Oleksiak, A., Kierzynka, M., Porrmann, M., Hagemeyer, J., Griessl, R., Peykanu, M., & Tigges, L. (2019). M2DC – A Novel Heterogeneous Hyperscale Microserver Platform. In C. Kachris, B. Falsafi, & D. Soudris (Eds.), Hardware Accelerators in Data Centers (1st ed., pp. 109-128). Cham, Switzerland: Springer International Publishing AG. doi:10.1007/978-3-319-92792-3
    PUB | DOI
     
  • [217]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., et al. (2018). LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, 210-217. New York, NY: ACM. https://doi.org/10.1145/3229631.3239370
    PUB | DOI
     
  • [216]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., et al. (2018). LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In T. Mudge (Ed.), Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (pp. 210-217). New York, NY, USA: ACM. https://doi.org/10.1145/3229631.3239370
    PUB | DOI
     
  • [215]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., et al. (2018). LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing. In D. Kaeli (Ed.), Proceedings of the 15th ACM International Conference on Computing Frontiers (pp. 276-278). New York, NY: ACM. https://doi.org/10.1145/3203217.3205339
    PUB | DOI | Download (ext.)
     
  • [214]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    Klimeck, D., Meyer, H. G., Hagemeyer, J., Porrmann, M., & Rückert, U. (2018). Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications. 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP) Piscataway, NJ: IEEE. https://doi.org/10.1109/asap.2018.8445091
    PUB | DOI
     
  • [213]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2018). An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods. 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN) Piscataway, NJ: IEEE. https://doi.org/10.1109/IPIN.2018.8533697
    PUB | PDF | DOI | Download (ext.)
     
  • [212]
    2018 | Datenpublikation | PUB-ID: 2919795 OA
    Lian Sang, C., Adams, M., Hörmann, T., Hesse, M., Porrmann, M., & Rückert, U. (2018). Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''. Bielefeld University. doi:10.4119/unibi/2919795
    PUB | Dateien verfügbar | DOI
     
  • [211]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J., Sievers, G., Daberkow, J., Flasskamp, M., Vohrmann, M., Jungeblut, T., Kelly, W., et al. (2018). CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories. IEEE Transactions on Parallel and Distributed Systems, 29(5), 1030-1043. doi:10.1109/TPDS.2017.2785799
    PUB | PDF | DOI | WoS
     
  • [210]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2018). FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports. Journal of Signal Processing Systems, 91(7), 703-729. doi:10.1007/s11265-018-1381-8
    PUB | DOI | WoS
     
  • [209]
    2018 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918788 OA
    Kaiser, M., Pilz, S., Porrmann, F., Hagemeyer, J., & Porrmann, M. (2018). Accelerating Hamming Distance Comparisons for Locality Sensitive Hashing (LSH) using FPGAs. 12th CeBiTec Symposium - Big Data in Medicine and Biotechnology - Abstract Book, 12, 48-49. Bielefeld.
    PUB | PDF
     
  • [208]
    2018 | Report | Veröffentlicht | PUB-ID: 2918509 OA
    Braun, L. D., & Porrmann, M. (2018). The Comprehensive MAC Taxonomy Database: comatose. doi:10.4119/unibi/2918509
    PUB | PDF | DOI
     
  • [207]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C., Flasskamp, M., Ax, J., Jungeblut, T., Kelly, W., Porrmann, M., & Rückert, U. (2018). Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom.
    PUB
     
  • [206]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G., Hübener, B., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., & Porrmann, M. (2017). The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In W. Hussain, J. Nurmi, J. Isoaho, & F. Garzia (Eds.), Computing Platforms for Software-Defined Radio (pp. 29--59). Cham, Switzerland: Springer International Publishing. doi:10.1007/978-3-319-49679-5_3
    PUB | DOI
     
  • [205]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937407
    Agosta, G., Barenghi, A., Ciesielczyk, T., Dutta, R., Fornaciari, W., Goubier, T., Hagemeyer, J., et al. (2017). The M2DC Approach towards Resource-efficient Computing. In A. Bagnato, R. Couceiro, J. Monteiro, D. Petrovska-Delacrétaz, A. Lopes, & É. Gouveia (Eds.), OPPORTUNITIES AND CHALLENGES for European Projects. Volume 1: EPS Portugal 2017/2018 (pp. 150-176). Setúbal, Portugal: SCITEPRESS. doi:10.5220/0008862601500176
    PUB | DOI
     
  • [204]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2912818
    Oleksiak, A., Kierzynka, M., Piatek, W., Agosta, G., Barenghi, A., Porrmann, M., Hagemeyer, J., et al. (2017). M2DC – Modular Microserver DataCentre with heterogeneous hardware. Microprocessors and Microsystems, 52, 117-130. doi:10.1016/j.micpro.2017.05.019
    PUB | DOI | WoS
     
  • [203]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2017). Reconfigurable Vision Processing System for Player Tracking in Indoor Sports. Conference on Design and Architectures for Signal and Image Processing (DASIP 2017), 1-6. Piscataway, NJ: IEEE. doi:10.1109/DASIP.2017.8122114
    PUB | DOI
     
  • [202]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    Irwansyah, A., Ibraheem, O. W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2017). FPGA-based Multi-Robot Tracking. Journal of Parallel and Distributed Computing, 107, 146-161. doi:10.1016/j.jpdc.2017.03.008
    PUB | DOI | Download (ext.) | WoS
     
  • [201]
    2017 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918683 OA
    Kaiser, M., Griessl, R., Hagemeyer, J., Jungewelter, D., Porrmann, F., Pilz, S., Porrmann, M., et al. (2017). A Reconfigurable Heterogeneous Microserver Architecture for Energy-efficient Computing. Third International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC'17) Denver, CO.
    PUB | PDF | Download (ext.)
     
  • [200]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J., Kucza, N., Vohrmann, M., Jungeblut, T., Porrmann, M., & Rückert, U. (Accepted). Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17)
    PUB
     
  • [199]
    2017 | Konferenzbeitrag | PUB-ID: 2909584
    Oleksiak, A., Kierzynka, M., Piatek, W., vor dem Berge, M., Christmann, W., Krupop, S., Porrmann, M., et al. (2017). M2DC: Modular Microserver Datacentre with Heterogeneous Hardware. Presented at the Energy-efficient Servers for Cloud and Edge Computing 2017 Workshop (ENeSCE 2017) - co-located with HiPEAC 2017, Stockholm, Sweden.
    PUB
     
  • [198]
    2017 | Report | PUB-ID: 2913643 OA
    Romoth, J., Porrmann, M., & Rückert, U. (2017). Survey of FPGA applications in the period 2000 – 2015 (Technical Report). doi:10.13140/RG.2.2.16364.56960
    PUB | PDF | DOI
     
  • [197]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909044
    Lachmair, J., Mieth, T., Griessl, R., Hagemeyer, J., & Porrmann, M. (2017). From CPU to FPGA – Acceleration of Self-Organizing Maps for Data Mining. International Joint Conference on Neural Networks (IJCNN 2017), 4299-4308.
    PUB
     
  • [196]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M., Sievers, G., Ax, J., Klarhorst, C., Jungeblut, T., Kelly, W., Thies, M., et al. (2016). Performance Estimation of Streaming Applications for Hierarchical MPSoCs. Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), 1. New York, NY: ACM Press. doi:10.1145/2852339.2852342
    PUB | PDF | DOI
     
  • [195]
    2016 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2908973
    Cozzi, D., Korf, S., Cassano, L., Hagemeyer, J., Domenici, A., Bernardeschi, C., Porrmann, M., et al. (2016). OLT(RE)²: an On-Line on-demand Testing approach for permanent Radiation Effects in REconfigurable systems. IEEE Transactions on Emerging Topics in Computing, PP(99), 1-1. doi:10.1109/TETC.2016.2586195
    PUB | DOI | WoS
     
  • [194]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908974
    Oleksiak, A., Porrmann, M., Hagemeyer, J., Griessl, R., Peykanu, M., Tigges, L., Christmann, W., et al. (2016). Data centres for IoT applications: The M2DC approach (Invited paper). 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 293-299. IEEE. doi:10.1109/SAMOS.2016.7818361
    PUB | DOI
     
  • [193]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908980
    Cecowski, M., Agosta, G., Oleksiak, A., Kierzynka, M., vor dem Berge, M., Christmann, W., Krupop, S., et al. (2016). The M2DC Project: Modular Microserver DataCentre. 2016 Euromicro Conference on Digital System Design (DSD) Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/dsd.2016.76
    PUB | DOI
     
  • [192]
    2016 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2909602
    Griessl, R., Peykanu, M., Tigges, L., Hagemeyer, J., & Porrmann, M. (2016). FiPS and M2DC: Novel Architectures for Reconfigurable Hyperscale Servers. Presented at the Workshop "Reconfigurable Computing — From Embedded Systems to Reconfigurable Hyperscale Servers" co-located with the International Conference on Field-Programmable Logic and Applications (FPL 2016), Lausanne, Switzerland.
    PUB | Download (ext.)
     
  • [191]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J., Sievers, G., Flasskamp, M., Kelly, W., Jungeblut, T., & Porrmann, M. (2015). System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc), 3-8. New York, NY, USA: ACM. doi:10.1145/2835512.2835513
    PUB | PDF | DOI
     
  • [190]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., et al. (2015). Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. 2015 IEEE International Symposium on Circuits & Systems (ISCAS), 1925-1928. IEEE. doi:10.1109/ISCAS.2015.7169049
    PUB | DOI | Download (ext.)
     
  • [189]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., et al. (2015). Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 175-181. IEEE. doi:10.1109/MCSoC.2015.25
    PUB | DOI
     
  • [188]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    Ibraheem, O. W., Irwansyah, A., Hagemeyer, J., Porrmann, M., & Rückert, U. (2015). A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. In M. Hübner, M. Gokhale, & R. Cumplido (Eds.), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig) (pp. 1-6). Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2015.7393282
    PUB | DOI
     
  • [187]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    Irwansyah, A., Ibraheem, O. W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2015). FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. In M. Hübner, M. Gokhale, & R. Cumplido (Eds.), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig) (pp. 1-8). Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2015.7393313
    PUB | DOI
     
  • [186]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, A., Walter, M., Hartfiel, J., Ax, J., Nussbaum, K., Jungeblut, T., & Porrmann, M. (2015). Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien. Presented at the Automation 2015, Baden-Baden.
    PUB
     
  • [185]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    Herbrechtsmeier, S., Jungeblut, T., & Porrmann, M. (2015). Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der Leiterplattenentwicklung. Entwurf mechatronischer Systeme, 343
    PUB
     
  • [184]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902039 OA
    Griessl, R., Peykanu, M., Hagemeyer, J., Porrmann, M., Krupop, S., vor dem Berge, M., Kosmann, L., et al. (2015). FPGA-accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters. Presented at the First International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC‘15), held in conjunction with Supercomputing 2015, Austin Texas, USA.
    PUB | PDF
     
  • [183]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M., Chatterjee, S., Lütkemeier, S., Jungeblut, T., Porrmann, M., & Rückert, U. (2015). A 65 nm Standard Cell Library for Ultra Low-power Applications. Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway. doi:10.1109/ECCTD.2015.7300041
    PUB | DOI
     
  • [182]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992
    Irwansyah, A., Ibraheem, O. W., Klimeck, D., Porrmann, M., & Rückert, U. (2014). FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera Interfaces. Presented at the Bildverarbeitung in der Automation (BVAu) 2014, Lemgo, Germany.
    PUB
     
  • [181]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732400
    Gausemeier, J., Korf, S., Porrmann, M., Stahl, K., Sudmann, O., & Vaßholz, M. (2014). Development of Self-Optimizing Systems. In J. Gausemeier, F. J. Rammig, & W. Schäfer (Eds.), Lecture Notes in Mechanical Engineering. Design Methodology for Intelligent Technical Systems. Develop Intelligent Technical Systems of the Future (pp. 65-117). Berlin Heidelberg: Springer Verlag. doi:10.1007/978-3-642-45435-6_3
    PUB | DOI
     
  • [180]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681323
    Sabena, D., Sterpone, L., Schölzel, M., Koal, T., Vierhaus, H. T., Wong, S., Glein, R., et al. (2014). Reconfigurable High Performance Architectures: How much are they ready for safety-critical applications. Proceedings of 19th IEEE European Test Symposium (ETS), 175-182. IEEE. doi:10.1109/ETS.2014.6847820
    PUB | DOI | Download (ext.)
     
  • [179]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, M., Ax, J., Buda, A., Nussbaum, K., Hartfiel, J., Jungeblut, T., & Porrmann, M. (2014). Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen. Presented at the Kommunikation in der Automation – KommA 2014, Lemgo, Germany.
    PUB | Download (ext.)
     
  • [178]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698999
    Sorrenti, D., Cozzi, D., Korf, S., Cassano, L., Hagemeyer, J., Porrmann, M., & Bernadeschi, C. (2014). Exploiting Dynamic Partial Reconfiguration for On-Line On-Demand Testing of Permanent Faults in Reconfigurable Systems. Presented at the 17th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Amsterdam, The Netherlands. doi:10.1109/DFT.2014.6962065
    PUB | DOI | Download (ext.)
     
  • [177]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2699005
    Cozzi, D., Jungewelter, D., Kleibrink, D., Korf, S., Hagemeyer, J., Porrmann, M., & Ilstad, J. (2014). AXI-based SpaceFibre IP CORE Implementation. Presented at the 6th International SpaceWire Conference, Athens, Greece. doi:10.1109/SpaceWire.2014.6936258
    PUB | DOI | Download (ext.)
     
  • [176]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B., Sievers, G., Jungeblut, T., Porrmann, M., & Rückert, U. (2014). CoreVA: A Configurable Resource-efficient VLIW Processor Architecture. Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 9-16. doi:10.1109/EUC.2014.11
    PUB | DOI
     
  • [175]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698930
    Griessl, R., Peykanu, M., Hagemeyer, J., Porrmann, M., Krupop, S., Vor dem Berge, M., Kiesel, T., et al. (2014). A Scalable Server Architecture for Next-Generation Heterogeneous Compute Clusters. Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, EUC 2014, 146-153. IEEE. doi:10.1109/EUC.2014.29
    PUB | DOI | Download (ext.)
     
  • [174]
    2014 | Konferenzbeitrag | PUB-ID: 2681362
    Cassano, L., Cozzi, D., Jungewelter, D., Korf, S., Hagemeyer, J., Porrmann, M., & Bernadeschi, C. (2014). An Inter-Processor Communication Interface for Data-Flow Centric Heterogeneous Embedded Multiprocessor Systems. Presented at the DTIS 2014, 9th International conference on Design & Technology of Integrated Systems in Nanoscale Era, Santorini , Greece. doi:10.1109/DTIS.2014.6850669
    PUB | DOI | Download (ext.)
     
  • [173]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2732260
    Seifried, A., Trächtler, A., Kleinjohann, B., Korf, S., Porrmann, M., Heinzemann, C., Rasche, C., et al. (2014). Methods of Improving the Dependability of Self-optimizing Systems. In J. Gausemeier, F. J. Rammig, W. Schäfer, & W. Sextro (Eds.), Lecture Notes in Mechanical Engineering. Dependability of Self-Optimizing Mechatronic Systems (pp. 37-171). Berlin Heidelberg: Springer Verlag. doi:10.1007/978-3-642-53742-4_3
    PUB | DOI
     
  • [172]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920470
    Dellnitz, M., Dumistrescu, R., Flasskamp, K., Gausemeier, J., Korf, S., & Porrmann, M. (2014). The Paradigm of Self-optimization. In J. Gausemeier, F. - J. Rammig, & W. Schäfer (Eds.), Lecture notes in mechanical engineering. Design Methodology for Intelligent Technical Systems – Develop Intelligent Technical Systems of the Future (pp. 1-25). Berlin Heidelberg: Springer. doi:10.1007/978-3-642-45435-6_1
    PUB | DOI
     
  • [171]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S., Jungeblut, T., Berge, H. K. O., Aunet, S., Porrmann, M., & Rückert, U. (2013). A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control. IEEE Journal Of Solid-State Circuits, 48(1), 8-19. doi:10.1109/JSSC.2012.2220671
    PUB | DOI | WoS
     
  • [170]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S., Sievers, G., Ax, J., Cozzi, D., Jungeblut, T., Hagemeyer, J., Porrmann, M., et al. (2013). Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In J. Gausemeier, R. Dumitrescu, F. Rammig, & A. Trächtler (Eds.), HNI-Verlagsschriftenreihe: Vol. 310. Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme (pp. 79-90). Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn.
    PUB | PDF
     
  • [169]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G., Christ, P., Einhaus, J., Jungeblut, T., Porrmann, M., & Rückert, U. (2013). Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications. 2013 NORCHIP. doi:10.1109/NORCHIP.2013.6702002
    PUB | DOI
     
  • [168]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P., Sievers, G., Einhaus, J., Jungeblut, T., Porrmann, M., & Rückert, U. (2013). Pareto-optimal Signal Processing on Low-Power Microprocessors. Proceedings of the 12th IEEE International Conference on SENSORS, 1843-1846. IEEE. doi:10.1109/ICSENS.2013.6688593
    PUB | DOI | Download (ext.)
     
  • [167]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2622226
    Sterpone, L., Porrmann, M., & Hagemeyer, J. (2013). A Novel Fault Tolerant and Runtime Reconfigurable Platform for Satellite Payload Processing. IEEE Transactions on Computers, 62(8), 1508-1525. doi:10.1109/TC.2013.80
    PUB | DOI | WoS
     
  • [166]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681289
    Desogus, M., Sterpone, L., Porrmann, M., Hagemeyer, J., & Illstad, J. (2013). Hardening Dynamically Reconfigurable Processing Modules Architectures: A Neutron Test Experience. RADECS proceedings, 2, 13-16
    PUB
     
  • [165]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681304
    Sterpone, L., Sabena, D., Ullah, A., Porrmann, M., Hagemeyer, J., & Ilstad, J. (2013). Dynamic neutron testing of Dynamically Reconfigurable Processing Modules architecture. Adaptive Hardware and Systems (AHS), 2013 NASA/ESA Conference on, 184-188. IEEE. doi:10.1109/AHS.2013.6604244
    PUB | DOI | Download (ext.)
     
  • [164]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T., Hübener, B., Porrmann, M., & Rückert, U. (2013). A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors. ACM Trans. Embed. Comput. Syst., 13(2), 1-25. doi:10.1145/2514641.2514645
    PUB | DOI | Download (ext.) | WoS
     
  • [163]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576042
    Cassano, L., Cozzi, D., Korf, S., Hagemeyer, J., Porrmann, M., & Sterpone, L. (2013). On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, 717-720. doi:10.7873/date.2013.154
    PUB | DOI
     
  • [162]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531
    Lachmair, J., Merényi, E., Porrmann, M., & Rückert, U. (2013). A reconfigurable neuroprocessor for self-organizing feature maps. Neurocomputing, 112(SI), 189-199. doi:10.1016/j.neucom.2012.11.045
    PUB | DOI | Download (ext.) | WoS
     
  • [161]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    Romoth, J., Jungewelter, D., Hagemeyer, J., Porrmann, M., & Rückert, U. (2012). Optimizing inter-FPGA communication by automatic channel adaptation. 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico , 1-7. Piscataway, NJ: IEEE. doi:10.1109/ReConFig.2012.6416767
    PUB | DOI
     
  • [160]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811
    Lachmair, J., Merenyi, E., Porrmann, M., & Rückert, U. (2012). gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing Maps. European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, 645-650
    PUB | Download (ext.)
     
  • [159]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S., Jungeblut, T., Porrmann, M., & Rückert, U. (2012). A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control. In Institute of Electrical and Electronics Engineers (Ed.), Proc. of the International Solid-State Circuits Conference (ISSCC) (pp. 484-485). Piscataway, NJ: IEEE. doi:10.1109/ISSCC.2012.6177101
    PUB | DOI
     
  • [158]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    Hagemeyer, J., Hilgenstein, A., Jungewelter, D., Cozzi, D., Felicetti, C., Rückert, U., Korf, S., et al. (2012). A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing. 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012), 9-16. Piscataway, NJ: IEEE. doi:10.1109/AHS.2012.6268642
    PUB | DOI | Download (ext.)
     
  • [157]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493814
    Durelli, G., Santambrogio, M. D., Cresci, F., Porrmann, M., & Sciuto, D. (2012). Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling. 19th Reconfigurable Architectures Workshop (RAW 2012). doi:10.1109/IPDPSW.2012.56
    PUB | DOI | Download (ext.)
     
  • [156]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T., Ax, J., Porrmann, M., & Rückert, U. (2012). A TCMS-based architecture for GALS NoCs. In IEEE Circuits and Systems Society & Institute of Electrical and Electronics Engineers (Eds.), 2012 IEEE International Symposium on Circuits and Systems Piscataway, NJ: IEEE. doi:10.1109/ISCAS.2012.6271870
    PUB | DOI
     
  • [155]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    Korf, S., Cozzi, D., Koester, M., Hagemeyer, J., Porrmann, M., Rückert, U., & Santambrogio, M. D. (2011). Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs. In P. Chow (Ed.), IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings (pp. 125-132). Piscataway, NJ: IEEE. https://doi.org/10.1109/fccm.2011.36
    PUB | DOI | Download (ext.)
     
  • [154]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493823
    Grawinkel, M., Schäfers, T., Brinkmann, A., Hagemeyer, J., & Porrmann, M. (2011). Evaluation of Applied Intra-Disk Redundancy Schemes to Improve Single Disk Reliability. In Association for Computing Machinery, Institute of Electrical and Electronics Engineers, & Nanyang Technological University (Eds.), MASCOTS2011 The 19th Annual Meeting of the IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems. (pp. 297-306). Piscataway, NJ: IEEE. doi:10.1109/mascots.2011.13
    PUB | DOI | Download (ext.)
     
  • [153]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493819
    Sterpone, L., Margaglia, F., Köster, M., Hagemeyer, J., & Porrmann, M. (2011). Analysis of SEU Effects in Partially Reconfigurable SoPCs. In European Space Agency, Jet Propulsion Laboratory, USA. National Aeronautics and Space Administration, & The University of Edinburgh. National Aeronautics and Space Administration (Eds.), Proceedings of NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2011) (pp. 129-136). Piscataway, NJ: IEEE. doi:10.1109/AHS.2011.5963926
    PUB | DOI
     
  • [152]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    Romoth, J., Hagemeyer, J., Porrmann, M., & Rückert, U. (2011). Fast Design-space Exploration with FPGA Cluster. DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing
    PUB | Download (ext.)
     
  • [151]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T., Ax, J., Sievers, G., Hübener, B., Porrmann, M., & Rückert, U. (2011). Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). Proc. of the Radar, Communication and Measurement Conference (RADCOM)
    PUB | Dateien verfügbar
     
  • [150]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623
    Nava, F., Sciuto, D., Santambrogio, M. D., Herbrechtsmeier, S., Porrmann, M., Witkowski, U., & Rückert, U. (2011). Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms. ACM Transactions on Reconfigurable Technology and Systems (TRETS), 4(3), 1-22. doi:10.1145/2000832.2000841
    PUB | DOI | WoS
     
  • [149]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510
    Griessl, R., Herbrechtsmeier, S., Porrmann, M., & Rückert, U. (2011). A Low-Power Vision Processing Platform for Mobile Robots. Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures
    PUB | Download (ext.)
     
  • [148]
    2011 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2494497
    Köster, M., Hagemeyer, J., Margaglia, F., Porrmann, M., Dittmann, F., Ditze, M., Sterpone, L., et al. (2011). Design Flow for a Fault-Tolerant Reconfigurable Multi-FPGA Architecture for Space Applications. DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing
    PUB
     
  • [147]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T., Liß, C., Porrmann, M., & Rückert, U. (2011). Design-space Exploration for Flexible WLAN Hardware. In N. Zorba, C. Skianis, & C. Verikoukis (Eds.), Cross Layer Designs in WLAN Systems (pp. 521-564). Leicester, UK: Troubador Publishing.
    PUB
     
  • [146]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2494479
    Pohl, C., Fuest, R., & Porrmann, M. (2010). vMAGIC – Automatic Code Generation for VHDL. newsletter edacentrum, 2009, 1-9. doi:10.1155/2009/205149
    PUB | DOI
     
  • [145]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493826
    Dittmann, F., Linke, M., Hagemeyer, J., Köster, M., Lallet, J., Pohl, C., Porrmann, M., et al. (2010). Implementation of a Dynamically Reconfigurable Processing Module for SpaceWire Networks. Proceedings of the International SpaceWire Conference 2010, 193-196
    PUB | Download (ext.)
     
  • [144]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472693 OA
    Porrmann, M., Hagemeyer, J., Pohl, C., Romoth, J., & Strugholtz, M. (2010). RAPTOR – A Scalable Platform for Rapid Prototyping and FPGA-based Cluster Computing. Parallel Computing: From Multicores and GPU's to Petascale, Advances in Parallel Computing, 19, 592-599. IOS press.
    PUB | PDF
     
  • [143]
    2010 | Patent | Veröffentlicht | PUB-ID: 2494087
    Christmann, W., Strugholtz, M., Hagemeyer, J., & Porrmann, M. (2010). Mehrprozessor-Computersystem
    PUB
     
  • [142]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622
    Puttmann, C., Porrmann, M., & Rückert, U. (2010). Extending GigaNoC towards a Dependable Network-on-Chip. Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC)
    PUB
     
  • [141]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T., Sievers, G., Porrmann, M., & Rückert, U. (2010). Design Space Exploration for Memory Subsystems of VLIW Architectures. 5th IEEE International Conference on Networking, Architecture, and Storage, 377-385. https://doi.org/10.1109/NAS.2010.14
    PUB | DOI
     
  • [140]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2010). A Framework for the Design Space Exploration of Software-Defined Radio Applications. Presented at the
    PUB | Download (ext.)
     
  • [139]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T., Lütkemeier, S., Sievers, G., Porrmann, M., & Rückert, U. (2010). A modular design flow for very large design space explorations. Presented at the
    PUB | Dateien verfügbar
     
  • [138]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T., Puttmann, C., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2010). Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography. Advances in Radio Science, 8, 295-305. https://doi.org/10.5194/ars-8-295-2010
    PUB | PDF | DOI | Download (ext.)
     
  • [137]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    Koester, M., Luk, W., Hagemeyer, J., Porrmann, M., & Rückert, U. (2010). Design Optimizations for Tiled Partially Reconfigurable Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(6), 1048-1061. https://doi.org/10.1109/TVLSI.2010.2044902
    PUB | DOI | WoS
     
  • [136]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557
    Purnaprajna, M., Porrmann, M., Rückert, U., Hussmann, M., Thies, M., & Kastens, U. (2010). Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis. ACM Transactions on Reconfigurable Technology, 3(3), 1-25. https://doi.org/10.1145/1839480.1839487
    PUB | DOI | WoS
     
  • [135]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564
    Puttmann, C., Porrmann, M., Grassi, P. R., Santambrogio, M. D., & Rückert, U. (2010). High Level Specification of Embedded Listeners for Monitoring of Network-on-Chips. Proceedings of the IEEE International Symposium on Circuits and Systems, 3333-3336. https://doi.org/10.1109/ISCAS.2010.5537894
    PUB | DOI
     
  • [134]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493628
    Pohl, C., Paiz, C., & Porrmann, M. (2009). vMAGIC - Automatic Code Generation for VHDL. International Journal of Reconfigurable Computing, Hindawi Publishing Corporation,, 2009(Article ID 205149), 1-9. doi:10.1155/2009/205149
    PUB | DOI | Download (ext.)
     
  • [133]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493880
    Porrmann, M., Purnaprajna, M., & Puttmann, C. (2009). Self-optimization of MPSoCs Targeting Resource Efficiency and Fault Tolerance. In European Space Agency, Jet Propulsion Laboratory, & The University of Edinburgh (Eds.), NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2009) (pp. 467-473). Piscataway, NJ: IEEE. doi:10.1109/ahs.2009.52
    PUB | DOI
     
  • [132]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472673
    Koester, M., Luk, W., Hagemeyer, J., & Porrmann, M. (2009). Design Optimizations to Improve Placeability of Partial Reconfiguration Modules. In European Design Automation Association (Ed.), Proceedings of the International Conference on Design, Automation and Test in Europe (DATE 2009) Piscataway, NJ: ACM Press. doi:10.1109/date.2009.5090806
    PUB | DOI | Download (ext.)
     
  • [131]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472678
    Porrmann, M., Hagemeyer, J., Romoth, J., & Strugholtz, M. (2009). Rapid Prototyping of Next-Generation Multiprocessor SoCs. Proceedings of Semiconductor Conference Dresden, SCD 2009
    PUB
     
  • [130]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472686
    Grassi, P. R., Santambrogio, M., Hagemeyer, J., Pohl, C., & Porrmann, M. (2009). SiLLis: A Simplified Language for Monitoring and Debugging of Reconfigurable Systems. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '09), 174-180
    PUB
     
  • [129]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493870
    Grassi, P. R., Santambrogio, M., Puttmann, C., Pohl, C., & Porrmann, M. (2009). A High Level Methodology for Monitoring Network-on-Chips. Diagnostic Services in Network-on-Chips (DSNOC 2009), Workshop at Design, Automation and Test in Europe.
    PUB
     
  • [128]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752 OA
    Purnaprajna, M., Pohl, C., Porrmann, M., & Rückert, U. (2009). Using Run-time Reconfiguration for Energy Savings in Parallel Data Processing. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA, 119-125.
    PUB | PDF
     
  • [127]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R., Jungeblut, T., Thies, M., Porrmann, M., Rückert, U., & Kastens, U. (2009). A Synchronization Method for Register Traces of Pipelined Processors. Proceedings of the International Embedded Systems Symposium 2009 (IESS '09), 207-217. Schloss Langenargen, Germany.
    PUB | Download (ext.)
     
  • [126]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    Paiz, C., Pohl, C., Radkowski, R., Hagemeyer, J., Porrmann, M., & Rückert, U. (2009). FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications. In IEEE Circuits and Systems Society, IEEE Electron Devices Society, & Institute of Electrical and Electronics Engineers (Eds.), Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09) (pp. 372-375). The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE. https://doi.org/10.1109/FPT.2009.5377612
    PUB | DOI
     
  • [125]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493834
    Herath, V., Peveling, R., Pfau, T., Adamczyk, O., Hoffmann, S., Wördehoff, C., Porrmann, M., et al. (2009). Cipset for a Coherent Polarization-Multiplexed QPSK Receiver. In Institute of Electrical and Electronics Engineers & Optical Society of America (Eds.), Proceedings of OFC/NFOEC 2009 Piscataway, NJ: OSA. doi:10.1364/ofc.2009.othe2
    PUB | DOI
     
  • [124]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772
    Liß, C., Porrmann, M., & Rückert, U. (2009). InCyte ChipEstimator in Research and Education. CDNLive EMEA 2009
    PUB
     
  • [123]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T., Klassen, D., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., & Kastens, U. (2009). Design Space Exploration for Next Generation Wireless Technologies (invited talk). Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009
    PUB
     
  • [122]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    Pohl, C., Hagemeyer, J., Porrmann, M., & Rückert, U. (2009). Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks. In IEEE Circuits and Systems Society, IEEE Electron Devices Society, & Institute of Electrical and Electronics Engineers (Eds.), Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09) (pp. 368-371). Sydney, Australia: IEEE. https://doi.org/10.1109/FPT.2009.5377611
    PUB | DOI
     
  • [121]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493855
    Pfau, T., Peveling, R., Herath, V., Hoffmann, S., Wördehoff, C., Adamczyk, O., Porrmann, M., et al. (2009). Towards Real-Time Implementation of Coherent Optical Communication. In Institute of Electrical and Electronics Engineers & Optical Society of America (Eds.), Proceedings of OFC/NFOEC 2009 Piscataway, NJ: OSA. doi:10.1364/ofc.2009.othj4
    PUB | DOI
     
  • [120]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494485
    Pohl, C., Fuest, R., & Porrmann, M. (2009). Manageable Dynamic Reconfiguration with EVE – Extendable VHDL Editor. Design Automation and Test in Europe (DATE), University Booth
    PUB | Download (ext.)
     
  • [119]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144724 OA
    Grassi, P. R., Pohl, C., & Porrmann, M. (2009). Reconfiguration Viewer. Design Automation and Test in Europe, DATE University Booth Nice, France.
    PUB | PDF
     
  • [118]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870
    Purnaprajna, M., Porrmann, M., & Rückert, U. (2009). Run-time reconfigurability in embedded multiprocessors. ACM SIGARCH Computer Architecture News, 37(2), 30-37. https://doi.org/10.1145/1577129.1577135
    PUB | DOI | Download (ext.)
     
  • [117]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782
    Liß, C., Porrmann, M., & Rückert, U. (2009). Early Exploration of Network Processor Architectures Using Cadence InCyte Chip Estimator. CDNLive EMEA 2009
    PUB
     
  • [116]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    Paiz, C., Hagemeyer, J., Pohl, C., Porrmann, M., Rückert, U., Schulz, B., Peters, W., et al. (2009). FPGA-Based Realization of Self-Optimizing Drive-Controllers. In IEEE Industrial Electronics Society, Institute of Electrical and Electronics Engineers, Keisoku-jidō-seigyō-gakkai, & Universidade do Porto (Eds.), the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009) (pp. 2868-2873). Piscataway, NJ: IEEE. https://doi.org/10.1109/iecon.2009.5415402
    PUB | PDF | DOI | Download (ext.)
     
  • [115]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942215
    Purnaprajna, M., Puttmann, C., & Porrmann, M. (2008). Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. 2008 Design, Automation and Test in Europe, 1462-1467. Piscataway, NJ: IEEE. doi:10.1109/date.2008.4484880
    PUB | DOI
     
  • [114]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493929
    Purnaprajna, M., Puttmann, C., & Porrmann, M. (2008). Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography. Proceedings of DATE '08: Design, Automation and Test in Europe, 1462-1467. ACM. doi:10.1145/1403375.1403727
    PUB | DOI | Download (ext.)
     
  • [113]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494491
    Pohl, C., Paiz, C., & Porrmann, M. (2008). A Hardware-in-the-Loop Design Environment for FPGAs. Design, Automation and Test in Europe (DATE), University Booth
    PUB
     
  • [112]
    2008 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2493607
    Paiz, C., Pohl, C., & Porrmann, M. (2008). Hardware-in-the-Loop Simulations for FPGA-Based Digital Control Design. In J. Andrade-Cetto, J. - L. Ferrier, J. 'e M. C. dias Pereira, & J. Filipe (Eds.), Informatics in Control, Automation and Robotics (Vol. 3, pp. 355-372). Berlin, Heidelberg: Springer-Verlag. doi:10.1007/978-3-540-79142-3_27
    PUB | DOI
     
  • [111]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493900
    Pfau, T., Wördehoff, C., Peveling, R., Ibrahim, S. K., Hoffmann, S., Adamczyk, O., Bhandare, S., et al. (2008). Ultra-Fast Adaptive Digital Polarization Control in a Realtime Coherent Polarization-Multiplexed QPSK Receiver. Proceedings of OFC/NFOEC 2008
    PUB | Download (ext.)
     
  • [110]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493648
    El-Darawy, M., Pfau, T., Hoffmann, S., Peveling, R., Wördehoff, C., Koch, B., Porrmann, M., et al. (2008). Fast Adaptive Polarization and PDL Tracking in a Real-Time FPGA-Based Coherent PolDM-QPSK Receiver. IEEE Photonics Technology Letters, 20(21), 1796-1798. doi:10.1109/LPT.2008.2004685
    PUB | DOI | WoS
     
  • [109]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493667
    Hoffmann, S., Bhandare, S., Pfau, T., Adamczyk, O., Wördehoff, C., Peveling, R., Porrmann, M., et al. (2008). Frequency and Phase Estimation for Coherent QPSK Transmission With Unlocked DFB Lasers. IEEE Photonics Technology Letters, 20(18), 1569-1571. doi:10.1109/LPT.2008.928846
    PUB | DOI | WoS
     
  • [108]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493890
    Münch, E., Gambuzza, A., Paiz, C., Pohl, C., & Porrmann, M. (2008). FPGA-in-the-Loop Simulations with CAMEL-View. Self-optimizing Mechatronic Systems: Design the Future, 7th International Heinz Nixdorf Symposium., 429-445
    PUB
     
  • [107]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493939
    Puttmann, C., Shokrollahi, J., & Porrmann, M. (2008). Resource Efficiency of Instruction Set Extensions for Elliptic Curve Cryptography. In IEEE Computer Society & Institute of Electrical and Electronics Engineers (Eds.), Proceedings of the 5th Internation Conference on Information Technology: New Generations, ITNG 2008 (pp. 131-136). Piscataway, NJ: IEEE. doi:10.1109/ITNG.2008.130
    PUB | DOI
     
  • [106]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493960
    Pohl, C., Paiz, C., & Porrmann, M. (2008). vMAGIC – VHDL Manipulation and Automation for Reliable System Development. Proceedings of the 3rd International Workshop on Reconfigurable Computing Education (on CD)
    PUB
     
  • [105]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494141
    El-Darawy, M., Pfau, T., Wördehoff, C., Koch, B., Hoffmann, S., Peveling, R., Porrmann, M., et al. (2008). Realtime 40 krad/s Polarization Tracking with 6 dB PDL in Digital Synchronous Polarization-Multiplexed QPSK Receiver. Proceedings of European Conference on Optical Communication (ECOC) IEEE. doi:10.1109/ECOC.2008.4729357
    PUB | DOI
     
  • [104]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472725
    Hagemeyer, J., Koester, M., & Porrmann, M. (2008). Hardware Virtualization Exploiting Dynamically Reconfigurable Architectures. 1. GI/ITG KuVS Fachgespräch Virtualisierung
    PUB
     
  • [103]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493945
    Griese, B., Brinkmann, A., & Porrmann, M. (2008). SelfS – A Real-Time Protocol for Virtual Ring Topologies. In IEEE Computer Society. Technical Committee on Parallel Processing & Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds.), Proceedings of the 16th International Workshop on Parallel and Distributed Real-Time Systems (WPDRTS '08), on CD Piscataway, NJ: IEEE. doi:10.1109/IPDPS.2008.4536571
    PUB | DOI
     
  • [102]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493957
    Purnaprajna, M., & Porrmann, M. (2008). Run-time Reconfigurable Multiprocessors. Proceedings of the 22nd International Parallel and Distributed Processing Symposium (IPDPS 2008), PhD Forum
    PUB
     
  • [101]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494157
    Purnaprajna, M., & Porrmann, M. (2008). Run-time Reconfigurable Cluster of Processors. Proceedings of 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), Workshop on Design, Architecture and Simulation of Chip Multi-Processors, IEEE Computer Society
    PUB | Download (ext.)
     
  • [100]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T., Grünewald, M., Porrmann, M., & Rückert, U. (2008). Realtime multiprocessor for mobile ad hoc networks. Advances in Radio Science, 6, 239-243. https://doi.org/10.5194/ars-6-239-2008
    PUB | PDF | DOI | Download (ext.)
     
  • [99]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493966
    Hoffmann, S., Pfau, T., Adamczyk, O., Wördehoff, C., Peveling, R., Porrmann, M., & Noe, R. (2008). Frequency Estimation and Compensation for Coherent QPSK Transmission with DFB Lasers. Proc. OSA Topical Meeting Coherent Optical Technologies and Applications (COTA) OSA. doi:10.1364/cota.2008.cwb4
    PUB | DOI | Download (ext.)
     
  • [98]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494096
    Pfau, T., El-Darawy, M., Wördehoff, C., Peveling, R., Hoffmann, S., Koch, B., Adamczyk, O., et al. (2008). 32-krad/s Polarization and 3-dB PDL Tracking in a Realtime Digital Coherent Polarization-Multiplexed QPSK Receiver. In IEEE Lasers and Electro-Optics Society (Ed.), Proceedings of the 2008 IEEE-LEOS Summer Topical Meetings (pp. 105-106). Piscataway, NJ: IEEE. doi:10.1109/LEOSST.2008.4590511
    PUB | DOI
     
  • [97]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T., Dreesen, R., Porrmann, M., Rückert, U., & Hachmann, U. (2008). Design Space Exploration for Resource Efficient VLIW-Processors. University Booth of the Design, Automation and Test in Europe (DATE) conference
    PUB
     
  • [96]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175 OA
    Puttmann, C., Shokrollahi, J., Porrmann, M., & Rückert, U. (2008). Hardware Accelerators for Elliptic Curve Cryptography. Advances in Radio Science, 6, 259-264. https://doi.org/10.5194/ars-6-259-2008
    PUB | PDF | DOI | Download (ext.)
     
  • [95]
    2008 | Monographie | Veröffentlicht | PUB-ID: 2493583
    Adelt, P., Donoth, J., Gausemeier, J., Geisler, J., Henkler, S., Kahl, S., Klöpper, B., et al. (2008). Selbstoptimierende Systeme des Maschinenbaus – Definitionen, Anwendungen, Konzepte. (Band 234). HNI-Verlagsschriftenreihe.
    PUB
     
  • [94]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493684
    Pfau, T., Hoffmann, S., Adamczyk, O., Peveling, R., Herath, V., Porrmann, M., & Noe, R. (2008). Coherent optical communication: Towards realtime systems at 40 Gbit/s and beyond. Optics Express, 16(2), 866-872. doi:10.1364/OE.16.000866
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [93]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494113
    Noe, R., Hoffmann, S., Pfau, T., Adamczyk, O., Herath, V., Peveling, R., & Porrmann, M. (2008). Realtime digital polarization and carrier recovery in a polarization-multiplexed optical QPSK transmission. In IEEE Lasers and Electro-Optics Society (Ed.), Proceedings of the 2008 IEEE/LEOS Summer Topical Meetings (pp. 99-100). Piscataway, NJ: IEEE. doi:10.1109/LEOSST.2008.4590508
    PUB | DOI
     
  • [92]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362
    Puttmann, C., Niemann, J. - C., Porrmann, M., & Rückert, U. (2007). GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-Multiprocessors. 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), 495-502. Piscataway, NJ: IEEE. https://doi.org/10.1109/dsd.2007.4341514
    PUB | DOI | Download (ext.)
     
  • [91]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472738
    Hagemeyer, J., Kettelhoit, B., Koester, M., & Porrmann, M. (2007). Design of Homogeneous Communication Infrastructures for Partially Reconfigurable FPGAs. Proc. of the Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA '07)
    PUB | Download (ext.)
     
  • [90]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494198
    Paiz, C., & Porrmann, M. (2007). The Utilization of Reconfigurable Hardware to Implement Digital Controllers: a Review. Proceedings of the IEEE International Symposium on Industrial Electronics, 2380-2385. IEEE. doi:10.1109/ISIE.2007.4374979
    PUB | DOI
     
  • [89]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472729
    Schulz, B., Paiz, C., Hagemeyer, J., Mathapati, S., Porrmann, M., & Böcker, J. (2007). Run-Time Reconfiguration of FPGA-Based Drive Controllers. European Conference on Power Electronics and Applications (EPE 2007) Aalborg, Denmark: IEEE. doi:10.1109/EPE.2007.4417686
    PUB | DOI
     
  • [88]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472743
    Hagemeyer, J., Kettelhoit, B., Koester, M., & Porrmann, M. (2007). A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGAS. In IEEE Circuits and Systems Society & Technische Universiteit Delft (Eds.), Proceedings of the 17th International Conference on Field Programmable Logic and Applications (FPL) (pp. 331-338). Amsterdam, Netherlands: IEEE. doi:10.1109/FPL.2007.4380668
    PUB | DOI
     
  • [87]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472748
    Hagemeyer, J., Kettelhoit, B., Koester, M., & Porrmann, M. (2007). INDRA – Integrated Design Flow for Reconfigurable Architectures. Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth
    PUB | Download (ext.)
     
  • [86]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033 OA
    Hussmann, M., Thies, M., Kastens, U., Purnaprajna, M., Porrmann, M., & Rückert, U. (2007). Compiler-Driven Reconfiguration of Multiprocessors. Proceedings of the Workshop on Application Specific Processors (WASP) 2007
    PUB | PDF | Download (ext.)
     
  • [85]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T., Grünewald, M., Porrmann, M., & Rückert, U. (2007). Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks. Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007
    PUB | Download (ext.)
     
  • [84]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494165
    Paiz, C., Kettelhoit, B., & Porrmann, M. (2007). A design framework for FPGA-based dynamically reconfigurable digital controllers. In Institute of Electrical and Electronics Engineers (Ed.), Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS2007) (pp. 3709-3711). Piscataway, NJ: IEEE. doi:10.1109/ISCAS.2007.378648
    PUB | DOI
     
  • [83]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494230
    Pfau, T., Peveling, R., Hoffmann, S., Bhandare, S., Ibrahim, S. K., Sandel, D., Adamczyk, O., et al. (2007). PDL-Tolerant Real-time Polarization-Multiplexed QPSK Transmission with Digital Coherent Polarization Diversity Receiver. In IEEE Lasers and Electro-Optics Society (Ed.), Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings (pp. 17-18). Piscataway, NJ: IEEE. doi:10.1109/LEOSST.2007.4288309
    PUB | DOI
     
  • [82]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494285
    Pfau, T., Peveling, R., Samson, F., Romoth, J., Hoffmann, S., Bhandare, S., Ibrahim, S. K., et al. (2007). Polarization-Multiplexed 2.8 Gbit/s Synchronous QPSK Transmission with Real-Time Digital Polarization Tracking. Proceedings of ECOC, 3, 263-264. IEE. doi:10.1049/ic:20070297
    PUB | DOI | Download (ext.)
     
  • [81]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494514
    Porrmann, M. (2007). Flexible Hardware Platforms for Dynamic Reconfiguration. Invited Talk at the 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop
    PUB
     
  • [80]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993
    Rana, V., Santambrogio, M., Sciuto, D., Kettelhoit, B., Koester, M., Porrmann, M., & Rückert, U. (2007). Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. In IEEE Computer Society. Technical Committee on Parallel Processing & Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Eds.), Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society. Piscataway, NJ: IEEE. https://doi.org/10.1109/IPDPS.2007.370363
    PUB | DOI
     
  • [79]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493699
    Pfau, T., Peveling, R., Hauden, Y., Grossard, N., Porte, H., Achiam, Y., Hoffmann, S., et al. (2007). Coherent Digital Polarization Diversity Receiver for Real-Time Polarization-Multiplexed QPSK Transmission at 2.8 Gb/s. Photonics Technology Letters, IEEE, 19(24), 1988-1990. doi:10.1109/LPT.2007.909897
    PUB | DOI | WoS
     
  • [78]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494159
    Pohl, C., Paiz, C., & Porrmann, M. (2007). Hardware-in-the-Loop Entwicklungsumgebung fuer informationsverarbeitende Komponenten mechatronischer Systeme. 5. Paderborner Workshop Entwurf mechatronischer Systeme, 69-79
    PUB
     
  • [77]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494262
    Pfau, T., Adamczyk, O., Herath, V., Peveling, R., Hoffmann, S., Porrmann, M., & Noe, R. (2007). Realtime Optical Synchronous QPSK Transmission with DFB lasers. In IEEE Lasers and Electro-Optics Society (Ed.), Proceedings of the 2007 IEEE/LEOS Summer Topical Meetings (pp. 15-16). Piscataway, NJ: IEEE. doi:10.1109/LEOSST.2007.4288308
    PUB | DOI
     
  • [76]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016
    Niemann, J. - C., Puttmann, C., Porrmann, M., & Rückert, U. (2007). Resource efficiency of the GigaNetIC chip multiprocessor architecture. Journal of System Architecture, 53(5-6), 285-299. https://doi.org/10.1016/j.sysarc.2006.10.007
    PUB | DOI | WoS
     
  • [75]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494202
    Noe, R., Pfau, T., Adamczyk, O., Peveling, R., Herath, V., Hoffmann, S., Porrmann, M., et al. (2007). Real-time Digital Carrier & Data Recovery for a Synchronous Optical Quadrature Phase Shift Keying Transmission System. In IEEE Microwave Theory and Techniques Society (Ed.), Proceedings of System Microwave Symposium. IEEE/MTT-S International (pp. 1503-1506). Piscataway, NJ: IEEE. doi:10.1109/MWSYM.2007.380539
    PUB | DOI
     
  • [74]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494512
    Porrmann, M. (2007). A Layer-Model Based Methodology for the Design of Dynamically Reconfigurable Systems. Invited Talk. 2nd Int. Conf. on Industrial and Information Systems (ICIIS 2007), Reconfigurable Computing Workshop
    PUB
     
  • [73]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049
    Niemann, J. - C., Liß, C., Porrmann, M., & Rückert, U. (2007). A Multiprocessor Cache for Massively Parallel SoC Architectures. In P. Lukowicz (Ed.), Lecture Notes in Computer Science: Vol. 4415. ARCS'07: Architecture of Computing Systems (pp. 83-97). Zurich, Switzerland: Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-540-71270-1_7
    PUB | DOI
     
  • [72]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724
    Köster, M., Kalte, H., Porrmann, M., & Rückert, U. (2007). Defragmentation Algorithms for Partially Reconfigurable Hardware. VLSI-SoC: From Systems to Silicon, 240, 41-53. https://doi.org/10.1007/978-0-387-73661-7_4
    PUB | DOI
     
  • [71]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494328
    Hoffmann, S., Pfau, T., Adamczyk, O., Peveling, R., Porrmann, M., & Noe, R. (2006). Hardware-Efficient and Phase Noise Tolerant Digital Synchronous QPSK Receiver Concept. Proceedings Optical Amplifiers and Their Applications/Coherent Optical Technologies and Applications Optical Society of America. doi:10.1364/COTA.2006.CThC6
    PUB | DOI | Download (ext.)
     
  • [70]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494326
    Kalte, H., & Porrmann, M. (2006). REPLICA2Pro: Task Relocation by Bitstream Manipulation in VIRTEX-II/Pro FPGAs. In M. Alderighi (Ed.), Proceedings of the 3rd Conference on Computing Frontiers (pp. 403-412). New York: ACM. doi:10.1145/1128022.1128045
    PUB | DOI | Download (ext.)
     
  • [69]
    2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718
    Porrmann, M., Witkowski, U., & Rückert, U. (2006). Implementation of Self-Organizing Feature Maps in Reconfigurable Hardware. In A. Omondi & J. Rajapakse (Eds.), FPGA Implementations of Neural Networks (pp. 247-269). Boston, MA: Springer. https://doi.org/10.1007/0-387-28487-7_9
    PUB | DOI
     
  • [68]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494321
    Porrmann, M., & Niemann, J. - C. (2006). Teaching Reconfigurable Computing Theory and Practice. International Workshop on Reconfigurable Computing Education (on CD)
    PUB
     
  • [67]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494340
    Koester, M., Kalte, H., & Porrmann, M. (2006). Relocation and Defragmentation for Heterogeneous Reconfigurable Systems. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '06), 70-76
    PUB
     
  • [66]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494364
    Kettelhoit, B., & Porrmann, M. (2006). A Layer Model for Systematically Designing Dynamically Reconfigurable Systems. In IEEE Circuits and Systems Society & Escuela Politécnica Superior (Madrid) (Eds.), Proceedings of the 16th International Conference on Field Programmable Logic and Applications (pp. 547-552). Piscataway, NJ: IEEE. doi:10.1109/FPL.2006.311265
    PUB | DOI
     
  • [65]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494390
    Pfau, T., Hoffmann, S., Peveling, R., Bhandare, S., Adamczyk, O., Porrmann, M., Noe, R., et al. (2006). 1.6 Gbit/s Real-Time Synchronous QPSK Transmission with Standard DFB Lasers. Proceedings of the 32nd European Conference on Optical Communication (ECOC 2006) IEEE. doi:10.1109/ecoc.2006.4800899
    PUB | DOI
     
  • [64]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2473942
    Hagemeyer, J., Kettelhoit, B., & Porrmann, M. (2006). Dedicated Module Access in Dynamically Reconfigurable Systems. In Association for Computing Machinery (Ed.), ACM Digital Library. Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS) (p. 1). Washington, DC: IEEE. doi:10.1109/IPDPS.2006.1639446
    PUB | DOI
     
  • [63]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494374
    Griese, B., Kettelhoit, B., & Porrmann, M. (2006). Evaluation of on-chip interfaces for dynamically reconfigurable coprocessors. In IEEE Computer Society. Technical Committee on Parallel Processing & Institute of Electrical and Electronics Engineers. Poland Section (Eds.), Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering (pp. 214-219). Los Alamitos, Calif. : IEEE. doi:10.1109/PARELEC.2006.36
    PUB | DOI
     
  • [62]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494380
    Sauer, C., Gries, M., Niemann, J. - C., Porrmann, M., & Thies, M. (2006). Application-driven Development of Concurrent Packet Processing Platforms. Proceedings of the 5th International Symposium on Parallel Computing in Electrical Engineering, 55-61
    PUB | Download (ext.)
     
  • [61]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494405
    Paiz, C., Chinapirom, T., Witkowski, U., & Porrmann, M. (2006). Dynamically Reconfigurable Hardware for Autonomous Mini-Robots. 32nd Annual Conference of the IEEE Industrial Electronics Society (IECON-2006), 3981-3986. IEEE. doi:10.1109/IECON.2006.348074
    PUB | DOI
     
  • [60]
    2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493726
    Pfau, T., Hoffmann, S., Peveling, R., Bhandare, S., Ibrahim, S. K., Adamczyk, O., Porrmann, M., et al. (2006). First Real-Time Data Recovery for SynchroneusQPSK Transmission with Standard DFB Lasers. IEEE PHOTONICS TECHNOLOGY LETTERS, 18(18), 1907-1909. doi:10.1109/LPT.2006.881653
    PUB | DOI | WoS
     
  • [59]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494368
    Paiz, C., Pohl, C., & Porrmann, M. (2006). Reconfigurable Hardware in-the-Loop Simulations for Digital Control Design. 3th International Conference on Informatics in Control, Automation and Robotics (ICINCO), 39-46
    PUB
     
  • [58]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961
    Niemann, J. - C., Puttmann, C., Porrmann, M., & Rückert, U. (2006). GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications. In W. Grass (Ed.), Lecture notes in computer science: Vol. 3894. ARCS'06 Architecture of Computing Systems (pp. 268-282). Berlin, Heidelberg: Springer Berlin Heidelberg. https://doi.org/10.1007/11682127_19
    PUB | DOI
     
  • [57]
    2006 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493754
    Pfau, T., Hoffmann, S., Peveling, R., Ibrahim, S. K., Adamczyk, O., Porrmann, M., Bhandare, S., et al. (2006). Synchronous QPSK transmission at 1.6 Gbit/s with standard DFB lasers and real-time digital receiver. IEEE Electronic Letters, 42(20), 1175-1176. doi:10.1049/el:20062108
    PUB | DOI | WoS
     
  • [56]
    2006 | Patent | Veröffentlicht | PUB-ID: 2494093
    Niemann, J. - C., Sauer, C., Porrmann, M., & Rückert, U. (2006). Flexible Beschleunigungseinheit für die Verarbeitung von Datenpaketen
    PUB
     
  • [55]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494346
    Hoffmann, S., Pfau, T., Peveling, R., Bhandare, S., Adamczyk, O., Porrmann, M., & Noe, R. (2006). Synchrone 1,6-Gbits-QPSK-Datenübertragung in Echtzeit mit DFB-Lasern. Workshop der ITG Fachgruppe 5.3.1, Modellierung photonischer Komponenten und Systeme, 21-27.
    PUB
     
  • [54]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494360
    Griese, B., & Porrmann, M. (2006). A Reconfigurable Ethernet Switch for Self-Optimizing Communication Systems. Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006), 115-125. Springer US. doi:10.1007/978-0-387-34733-2_12
    PUB | DOI
     
  • [53]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278
    Jäger, B., Porrmann, M., & Rückert, U. (2006). Bio-inspired massively parallel architectures for nanotechnologies. In IEEE Circuits and Systems Society (Ed.), Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006). (pp. 1961-1964). Piscataway, NJ: IEEE. https://doi.org/10.1109/ISCAS.2006.1692996
    PUB | DOI
     
  • [52]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969 OA
    Sauer, C., Gries, M., Dirk, S., Niemann, J. - C., Porrmann, M., & Rückert, U. (2006). A Lightweight NoC for the NOVA Packet Processing Plattform. Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop Munich, Germany.
    PUB | PDF | Download (ext.)
     
  • [51]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882 OA
    Eickhoff, R., Niemann, J. - C., Porrmann, M., & Rückert, U. (2005). Adaptable Switch boxes as on-chip routing nodes for networks-on-chip. In A. Rettberg, M. C. Zanella, & F. J. Rammig (Eds.), IFIP On-Line Library in Computer Science: Vol. 184. From Specification to Embedded Systems Application (pp. 201-210). Boston, MA: Springer. https://doi.org/10.1007/11523277_20
    PUB | PDF | DOI | Download (ext.)
     
  • [50]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494412
    Griese, B., Oberthür, S., & Porrmann, M. (2005). Component case study of a self-optimizing RCOS/RTOS system. A reconfigurable network service. In A. Rettberg, M. C. Zanella, & F. J. Rammig (Eds.), IFIP On-Line Library in Computer Science : Vol. 184. From Specification to Embedded Systems Application (pp. 267-277). Boston, MA: Springer. doi:10.1007/11523277_26
    PUB | DOI | Download (ext.)
     
  • [49]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910
    Liß, C., Peveling, R., Porrmann, M., & Rückert, U. (2005). Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-Roadmap. Symposium fuer Vorausschau und Technologieplanung, 87-103
    PUB
     
  • [48]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494437
    Koester, M., Kalte, H., & Porrmann, M. (2005). Task Placement for Heterogeneous Reconfigurable Architectures. In IEEE Circuits and Systems Society. Singapore Chapter, IEEE Electron Devices Society. Singapore Chapter, Institute of Electrical and Electronics Engineers. Singapore Section, & School of Computing (Singapur). Singapore Section (Eds.), Proceedings of the IEEE 2005 Conference on Field-Programmable Technology (FPT '05) (pp. 43-50). Piscataway, NJ: IEEE. doi:10.1109/FPT.2005.1568523
    PUB | DOI
     
  • [47]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494424
    Kalte, H., & Porrmann, M. (2005). Context Saving and Restoring for Multitasking in Reconfigurable Systems. In IEEE Circuits and Systems Society & Tampereen Teknillinen Yliopisto (Eds.), 15th International Conference on Field Programmable Logic and Applications (pp. 223-228). Piscataway, NJ: IEEE. doi:10.1109/FPL.2005.1515726
    PUB | DOI
     
  • [46]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494429
    Koester, M., Kalte, H., & Porrmann, M. (2005). Run-Time Defragmentation for Partially Reconfigurable Systems. Proceedings of the International Conference on Very Large Scale Integration (IFIP VLSI-SOC), 109-115
    PUB
     
  • [45]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900
    Kettelhoit, B., Klassen, A., Paiz, C., Porrmann, M., & Rückert, U. (2005). Rekonfigurierbare Hardware zur Regelung mechatronischer Systeme. 3. Paderborner Workshop: Intelligente mechatronische Systeme, 195-205.
    PUB
     
  • [44]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050
    Koester, M., Porrmann, M., & Rückert, U. (2005). Placement-Oriented Modeling of Partially Reconfigurable Architectures. Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.
    PUB | Download (ext.)
     
  • [43]
    2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286
    Grünewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2005). A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In P. Crowely, M. A. Franklin, H. Hadimioglu, & P. Z. Onufryk (Eds.), Network Processor Design: Issues and Practices (Vol. 3, pp. 245-277). Morgan Kaufmann Publisher. https://doi.org/10.1016/b978-012088476-6/50013-7
    PUB | DOI
     
  • [42]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853 OA
    Niemann, J. - C., Porrmann, M., Sauer, C., & Rückert, U. (2005). An Evaluation of the Scalable GigaNetIC Architecture for Access Networks. Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005)
    PUB | PDF
     
  • [41]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944
    Paiz, C., Kettelhoit, B., Klassen, A., Porrmann, M., & Rückert, U. (2005). Dynamically reconfigurable hardware for digital controllers in mechatronic systems. In IEEE Industrial Electronics Society (Ed.), IEEE International Conference on Mechatronics (ICM 2005) (pp. 675-680). Piscataway, NJ: IEEE. https://doi.org/10.1109/ICMECH.2005.1529342
    PUB | DOI
     
  • [40]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829
    Kettelhoit, B., Kalte, H., Porrmann, M., & Rückert, U. (2005). Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic Systems. 5. GMM/ITG/GI-Workshop Multi-Nature Systems, 97-101
    PUB
     
  • [39]
    2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654
    Kalte, H., Kettelhoit, B., Koester, M., Porrmann, M., & Rückert, U. (2005). A System Approach for Partially Reconfigurable Architectures. International Journal of Embedded Systems (IJES), Inderscience Publisher, 1(3/4), 274-290. https://doi.org/10.1504/IJES.2005.009956
    PUB | DOI
     
  • [38]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119
    Kalte, H., Lee, G., Porrmann, M., & Rückert, U. (2005). REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems. Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD. IEEE. https://doi.org/10.1109/IPDPS.2005.380
    PUB | DOI
     
  • [37]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309
    Niemann, J. - G., Porrmann, M., & Rückert, U. (2005). A scalable parallel SoC architecture for network processors. VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on, 311-313. IEEE. https://doi.org/10.1109/ISVLSI.2005.13
    PUB | DOI
     
  • [36]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494463
    Hagen, G., Niemann, J. - C., Porrmann, M., Sauer, C., Slowik, A., & Thies, M. (2004). Developing an IP-DSLAM Benchmark for Network Processor Units. ANCHOR 2004, Advanced Networking and Communications Hardware Workshop, held in conjunction with the 31st Annual International Symposium on Computer Architecture (ISCA 2004)
    PUB
     
  • [35]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912
    Vonnahme, E., Griese, G., Porrmann, M., & Rückert, U. (2004). Dynamic Reconfiguration of Real-Time Network Interfaces. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 376-379). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.29
    PUB | DOI
     
  • [34]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708
    Kalte, H., Porrmann, M., & Rückert, U. (2004). Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer Hardware. ARCS 2004 – Organic and Pervasive Computing, 234-244.
    PUB
     
  • [33]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760
    Vonnahme, E., Griese, B., Porrmann, M., & Rückert, U. (2004). Dynamische Rekonfiguration echtzeitfähiger Netzwerkschnittstellen. VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence', 99-104. Berlin, Germany: VDE Verlag.
    PUB
     
  • [32]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101
    Grunewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). A mapping strategy for resource-efficient network processing on multiprocessor SoCs. In European Design Automation Association (Ed.), Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings (Vol. 2, pp. 758-763). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/DATE.2004.1268970
    PUB | DOI
     
  • [31]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233
    Kalte, H., Lee, G., Porrmann, M., & Rückert, U. (2004). Study on column wise design compaction for reconfigurable systems. In IEEE Electron Devices Society & School of Information Technology and Electrical Engineering (Eds.), Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on (pp. 413-416). Piscataway, NJ: IEEE. https://doi.org/10.1109/FPT.2004.1393313
    PUB | DOI
     
  • [30]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776
    Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). Parallele Architekturen für Netzwerkprozessoren. Ambient Intelligence, VDE Kongress, 1, 105-110. VDE Verlag.
    PUB | Download (ext.)
     
  • [29]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730
    Kalte, H., Koester, M., Kettelhoit, B., Porrmann, M., & Rückert, U. (2004). A Comparative Study on System Approaches for Partially Reconfigurable Architectures. In T. Plaks (Ed.), Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04) (pp. 70-76). Las Vegas, Nevada, USA: CSREA Press.
    PUB
     
  • [28]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742
    Griese, B., Vonnahme, E., Porrmann, M., & Rückert, U. (2004). Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures. In J. Becker (Ed.), Lecture notes in computer science: Vol. 3203. Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004) (pp. 842-846). Antwerp, Belgium: Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-540-30117-2_86
    PUB | DOI
     
  • [27]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146
    Grunewald, M., Le, D. K., Kastens, U., Niemann, J. - C., Porrmann, M., Rückert, U., Slowik, A., et al. (2004). Network application driven instruction set extensions for embedded processing clusters. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 209-214). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.45
    PUB | DOI
     
  • [26]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700
    Grünewald, M., Niemann, J. - C., Porrmann, M., & Rückert, U. (2004). A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. Proceedings of the 3rd Workshop on Network Processors & Applications, 87-101. Madrid, Spain.
    PUB
     
  • [25]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942
    Franzmeier, M., Pohl, C., Porrmann, M., & Rückert, U. (2004). Hardware Accelerated Data Analysis. In IEEE Computer Society. Technical Committee on Parallel Processing & Technische Universität Dresden. Technical Committee on Parallel Processing (Eds.), Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on (pp. 309-314). Los Alamitos, Calif. : IEEE Comput. Soc. https://doi.org/10.1109/PCEE.2004.36
    PUB | DOI
     
  • [24]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356
    Kalte, H., Porrmann, M., & Rückert, U. (2004). System-on-programmable-chip approach enabling online fine-grained 1D-placement. Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International, 141. IEEE. https://doi.org/10.1109/IPDPS.2004.1303118
    PUB | DOI
     
  • [23]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138
    Pohl, C., Franzmeier, M., Porrmann, M., & Rückert, U. (2004). gNBX - reconfigurable hardware acceleration of self-organizing maps. In IEEE Electron Devices Society & School of Information Technology and Electrical Engineering (Eds.), Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on (pp. 97-104). Piscataway, NJ: IEEE. https://doi.org/10.1109/FPT.2004.1393256
    PUB | DOI
     
  • [22]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024
    Bonorden, O., Bruls, N., Kastens, U., Le, D. K., Heide auf der, F. M., Niemann, J. - C., Porrmann, M., et al. (2003). A holistic methodology for network processor design. Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on, 583-592. IEEE. https://doi.org/10.1109/LCN.2003.1243185
    PUB | DOI
     
  • [21]
    2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324
    Porrmann, M., Witkowski, U., & Rückert, U. (2003). A Massively Parallel Architecture for Self-Organizing Feature Maps. IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations, 14(5), 1110-1121. https://doi.org/10.1109/TNN.2003.816368
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [20]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575
    Kalte, H., Porrmann, M., & Rückert, U. (2002). A Prototyping Platform for Dynamically Reconfigurable System on Chip Designs. Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC) Hamburg, Germany.
    PUB
     
  • [19]
    2002 | Monographie | Veröffentlicht | PUB-ID: 2493620
    Porrmann, M. (2002). Leistungsbewertung eingebetteter Neurocomputersysteme. Dissertation. (104). Paderborn: HNI-Verlagsschriftenreihe, Heinz Nixdorf Institut, Schaltungstechnik.
    PUB
     
  • [18]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603 OA
    Porrmann, M., Franzmeier, M., Kalte, H., Witkowski, U., & Rückert, U. (2002). A Reconfigurable SOM Hardware Accelerator. Presented at the
    PUB | PDF
     
  • [17]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565 OA
    Langen, D., Niemann, J. - C., Porrmann, M., Kalte, H., & Rückert, U. (2002). Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC Implementation. Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC) Hamburg, Germany.
    PUB | PDF
     
  • [16]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589
    Porrmann, M., Witkowski, U., Kalte, H., & Rückert, U. (2002). Dynamically Reconfigurable Hardware – A New Perspective for Neural Network Implementations. In M. Glesner (Ed.), Lecture notes in computer science: Vol. 2438. Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002) (pp. 1048-1057). Montpellier, France: Springer Berlin Heidelberg. https://doi.org/10.1007/3-540-46117-5_107
    PUB | DOI
     
  • [15]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896
    Porrmann, M., Witkowski, U., Kalte, H., & Rückert, U. (2002). Implementation of artificial neural networks on a reconfigurable hardware accelerator. Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on, 243-250. IEEE Comput. Soc. https://doi.org/10.1109/EMPDP.2002.994279
    PUB | DOI
     
  • [14]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966
    Brinkmann, A., Niemann, J. - C., Hehemann, I., Langen, D., Porrmann, M., & Rückert, U. (2002). On-chip interconnects for next generation system-on-chips. ASIC/SOC Conference, 2002. 15th Annual IEEE International, 211-215. IEEE. https://doi.org/10.1109/ASIC.2002.1158058
    PUB | DOI
     
  • [13]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549
    Porrmann, M., Rüping, S., & Rückert, U. (2001). The Impact of Communication on Hardware Accelerators for Neural Networks. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), 3, 248-253. Orlando, Florida, USA.
    PUB
     
  • [12]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555 OA
    Niemann, J. - C., Witkowski, U., Porrmann, M., & Rückert, U. (2001). Extension Module for Application-Specific Hardware on the Minirobot Khepera. Autonomous Minirobots for Research and Edutainment (AMiRE 2001), 279-288. Paderborn, Germany.
    PUB | PDF
     
  • [11]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526
    Porrmann, M., Rückert, U., Landmann, J., & Marks, K. M. (2001). XipChip – A Multiprocessor CPU for Multifunction Peripherals. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), 15, 512-517
    PUB
     
  • [10]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539
    Porrmann, M., Kalte, H., Witkowski, U., Niemann, J. - C., & Rückert, U. (2001). A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature Maps. Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001, 3, 242-247. Orlando, Florida, USA.
    PUB
     
  • [9]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566
    Kalte, H., Porrmann, M., & Rückert, U. (2000). Rapid Prototyping System für dynamisch rekonfigurierbare Hardwarestrukturen. Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000), 149-157. Karlsruhe, Germany.
    PUB
     
  • [8]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572
    Kalte, H., Porrmann, M., & Rückert, U. (2000). Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D Graphics. Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000), 5, 2819-2824
    PUB
     
  • [7]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315
    Porrmann, M., Ruping, S., & Rückert, U. (1999). SOM hardware with acceleration module for graphical representation of the learning process. Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, 380-386. IEEE Comput. Soc. https://doi.org/10.1109/MN.1999.758890
    PUB | DOI
     
  • [6]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468
    Porrmann, M., Heittmann, A., Rüping, S., & Rückert, U. (1998). A Hybrid Knowledge Processing System. Proceedings of the Conference Neural Networks and their Applications (NEURAP), 177-184
    PUB
     
  • [5]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285592
    Rüping, S., Porrmann, M., & Rückert, U. (1998). SOM Accelerator System. Neurocomputing, 21, 31-50.
    PUB | Download (ext.)
     
  • [4]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384 OA
    Rüping, S., Porrmann, M., & Rückert, U. (1997). A High Performance SOFM Hardware-System. Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97), 772-781. Lanzarote, Spain.
    PUB | PDF | Download (ext.)
     
  • [3]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402 OA
    Rüping, S., Porrmann, M., & Rückert, U. (1997). SOM Hardware-Accelerator. Workshop on Self-Organizing Maps (WSOM), 136-141. Espoo, Finnland.
    PUB | PDF | Download (ext.)
     
  • [2]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241
    Porrmann, M., Landmann, J., Marks, K. M., & Rückert, U. (1997). HIBRIC-MEM, a Memory Controller for PowerPC Based Systems. Proceedings of the 23rd EUROMICRO Conference, 653-663. Budapest, Ungarn: IEEE Comput. Soc. https://doi.org/10.1109/EURMIC.1997.617396
    PUB | DOI
     
  • [1]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575
    Palm, G., Rückert, U., Porrmann, M., & Schwenker, F. (1996). Neuronale Assoziativspeicher. Neuroinformatik Statusseminar, 419-432.
    PUB
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung