66 Publikationen

Alle markieren

  • [66]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2985997 OA
    Flasskamp, M.; Klarhorst, C.; Hagemeyer, J. (2023): Trustworthy System-on-Chip by monitoring system behavior at runtime. In: Francesco Restuccia; Biruk Seyoum; Davide Rossi; Luca Benini (Hrsg.): Proceedings of the 1st Safety and Security in Heterogeneous Open System-on-Chip Platforms Workshop (SSH-SoC 2023).
    PUB | PDF | Download (ext.)
     
  • [65]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2983518
    Mika, K.; Porrmann, F.; Kucza, N.; Griessl, R.; Hagemeyer, J. (2023): RECS: A Scalable Platform for Heterogeneous Computing. In: 2023 IEEE 36th International System-on-Chip Conference (SOCC). IEEE. S. 1-6.
    PUB | DOI
     
  • [64]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2983268
    Kortekamp, M.; Pilz, S.; Hagemeyer, J.; Rückert, U. (2023): A Scalable Binary Neural Associative Memory on FPGA. In: Ignacio Rojas; Gonzalo Joya; Andreu Catala (Hrsg.): Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I. Cham: Springer Nature Switzerland. (Lecture Notes in Computer Science, ). S. 381-393.
    PUB | DOI
     
  • [63]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982048
    Mika, K.; Griessl, R.; Kucza, N.; Porrmann, F.; Kaiser, M.; Tigges, L.; Hagemeyer, J.; Trancoso, P.; Azhar, M. W.; Qararyah, F.; Zouzoula, S.; Ménétrey, J.; Pasin, M.; Felber, P.; Marcus, C.; Brunnegard, O.; Eriksson, O.; Salomonsson, H.; Ödman, D.; Ask, A.; Casimiro, A.; Bessani, A.; Carvalho, T.; Gugala, K.; Zierhoffer, P.; Latosinski, G.; Tassemeier, M.; Porrmann, M.; Heyn, H. - M.; Knauss, E.; Mao, Y.; Meierhöfer, F.; Bartolini, A.; Rietveld, K.; Schuman, C.; Moreira, J. (2023): VEDLIoT. Next generation accelerated AIoT systems and applications. In: CF '23: Proceedings of the 20th ACM International Conference on Computing Frontiers. New York, NY: ACM. S. 291-296.
    PUB | DOI
     
  • [62]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979799
    Griessl, R.; Porrmann, F.; Kucza, N.; Mika, K.; Hagemeyer, J.; Kaiser, M.; Porrmann, M.; Tassemeier, M.; Flottmann, M.; Qararyah, F.; Waqar, M.; Trancoso, P.; Ödman, D.; Gugala, K.; Latosinski, G. (2023): Evaluation of heterogeneous AIoT Accelerators within VEDLIoT. In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE. S. 1-6.
    PUB | DOI
     
  • [61]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2964196
    Kaiser, M.; Griessl, R.; Kucza, N.; Haumann, C.; Tigges, L.; Mika, K.; Hagemeyer, J.; Porrmann, F.; Rückert, U.; vor dem Berge, M.; Krupop, S.; Porrmann, M.; Tassemeier, M.; Trancoso, P.; Qararyah, F.; Zouzoula, S.; Casimiro, A.; Bessani, A.; Cecilio, J.; Andersson, S.; Brunnegard, O.; Eriksson, O.; Weiss, R.; Mcierhofer, F.; Salomonsson, H.; Malekzadeh, E.; Odman, D.; Khurshid, A.; Felber, P.; Pasin, M.; Schiavoni, V.; Menetrey, J.; Gugala, K.; Zierhoffer, P.; Knauss, E.; Heyn, H. (2022): VEDLIoT: Very Efficient Deep Learning in IoT. In: Institut of Electrical and Electronics Engineers (IEEE) (Hrsg.): DATE '22: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe. Leuven: European Design and Automation Association. S. 963-968.
    PUB | DOI
     
  • [60]
    2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2958649
    Vasiliu, L.; Cortis, K.; McDermott, R.; Kerr, A.; Peters, A.; Hesse, M.; Hagemeyer, J.; Belpaeme, T.; McDonald, J.; Villing, R.; Mileo, A.; Capulto, A.; Scriney, M.; Griffiths, S.; Koumpis, A.; Davis, B. (2021): CASIE – Computing affect and social intelligence for healthcare in an ethical and trustworthy manner Paladyn, Journal of Behavioral Robotics,12:(1): 437-453.
    PUB | DOI
     
  • [59]
    2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2957481 OA
    Porrmann, F.; Pilz, S.; Stella, A.; Kleinjohann, A.; Denker, M.; Hagemeyer, J.; Rückert, U. (2021): Acceleration of the SPADE Method Using a Custom-Tailored FP-Growth Implementation Frontiers in Neuroinformatics,15:(15):723406
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [58]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982047
    Salami, B.; Parasyris, K.; Cristal, A.; Unsal, O.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Nabavi, S.; Madonar, S.; Pericas, M.; Trancoso, P.; Abduljabbar, M.; Chen, J.; Soomro, P. N.; Manivannan, M.; Berge, M.; Krupop, S.; Klawonn, F.; Mekhlafi, A.; May, S.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Etsion, Y.; Quoc Do, L.; Fetzer, C.; Kaiser, M.; Kucza, N.; Hagemeyer, J.; Griessl, R.; Tigges, L.; Mika, K.; Huffmeier, A.; Pasin, M.; Schiavoni, V.; Rocha, I.; Gottel, C.; Felber, P. (2020): LEGaTO: Low-Energy, Secure, and Resilient Toolset for Heterogeneous Computing. In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). Piscataway, NJ: IEEE. S. 169-174.
    PUB | DOI
     
  • [57]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2941646 OA
    Pilz, S.; Porrmann, F.; Kaiser, M.; Hagemeyer, J.; Hogan, J. M.; Rückert, U. (2020): Accelerating Binary String Comparisons with a Scalable, Streaming-Based System Architecture Based on FPGAs Algorithms,13:(2):47
    PUB | PDF | DOI | Download (ext.) | WoS
     
  • [56]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2920469
    Oleksiak, A.; Kierzynka, M.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L. (2019): M2DC – A Novel Heterogeneous Hyperscale Microserver Platform. In: Christoforos Kachris; Babak Falsafi; Dimitrios Soudris (Hrsg.): Hardware Accelerators in Data Centers. 1. Cham, Switzerland: Springer International Publishing AG. S. 109-128.
    PUB | DOI
     
  • [55]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY: ACM. S. 210-217.
    PUB | DOI
     
  • [54]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: Trevor Mudge (Hrsg.): Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY, USA: ACM. S. 210-217.
    PUB | DOI
     
  • [53]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Etsion, Y.; Nowack, V.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Kaeli, D.; Pericàs, M. (2018): LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing. In: David Kaeli (Hrsg.): Proceedings of the 15th ACM International Conference on Computing Frontiers. New York, NY: ACM. S. 276-278.
    PUB | DOI | Download (ext.)
     
  • [52]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    Klimeck, D.; Meyer, H. G.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications. In: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [51]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports Journal of Signal Processing Systems,91:(7): 703-729.
    PUB | DOI | WoS
     
  • [50]
    2018 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918788 OA
    Kaiser, M.; Pilz, S.; Porrmann, F.; Hagemeyer, J.; Porrmann, M. (2018): Accelerating Hamming Distance Comparisons for Locality Sensitive Hashing (LSH) using FPGAs. In: 12th CeBiTec Symposium - Big Data in Medicine and Biotechnology - Abstract Book. Bielefeld. (12). S. 48-49.
    PUB | PDF
     
  • [49]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937407
    Agosta, G.; Barenghi, A.; Ciesielczyk, T.; Dutta, R.; Fornaciari, W.; Goubier, T.; Hagemeyer, J.; Kosmann, L.; Mainardi, N.; Oleksiak, A.; Pelosi, G.; Piatek, W.; Pieper, C.; Porrmann, M.; Schlitt, D.; Zanella, M. (2017): The M2DC Approach towards Resource-efficient Computing. In: Alessandro Bagnato; Ricardo Couceiro; Juliana Monteiro; Dijana Petrovska-Delacrétaz; Arminda Lopes; Élvio Gouveia (Hrsg.): OPPORTUNITIES AND CHALLENGES for European Projects. Volume 1: EPS Portugal 2017/2018. Setúbal, Portugal: SCITEPRESS. S. 150-176.
    PUB | DOI
     
  • [48]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2912818
    Oleksiak, A.; Kierzynka, M.; Piatek, W.; Agosta, G.; Barenghi, A.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Lachmair, J.; Peykanu, M.; Tigges, L.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Carbon, A.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Rosinger, S.; Schlitt, D.; Pieper, C.; Adeniyi-Jones, C.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cecowski, M.; Plestenjak, R.; Činkelj, J.; Setoain, J.; Ceva, L.; Janssen, U. (2017): M2DC – Modular Microserver DataCentre with heterogeneous hardware Microprocessors and Microsystems,52: 117-130.
    PUB | DOI | WoS
     
  • [47]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): Reconfigurable Vision Processing System for Player Tracking in Indoor Sports. In: Conference on Design and Architectures for Signal and Image Processing (DASIP 2017). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [46]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): FPGA-based Multi-Robot Tracking Journal of Parallel and Distributed Computing,107: 146-161.
    PUB | DOI | Download (ext.) | WoS
     
  • [45]
    2017 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2918683 OA
    Kaiser, M.; Griessl, R.; Hagemeyer, J.; Jungewelter, D.; Porrmann, F.; Pilz, S.; Porrmann, M.; vor dem Berge, M.; Krupop, S. (2017): A Reconfigurable Heterogeneous Microserver Architecture for Energy-efficient Computing. In: Third International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC'17). Denver, CO.
    PUB | PDF | Download (ext.)
     
  • [44]
    2017 | Konferenzbeitrag | PUB-ID: 2909584
    Oleksiak, A.; Kierzynka, M.; Piatek, W.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Rosinger, S.; Schlitt, D.; Pieper, C.; Janssen, U.; Agosta, G.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cecowski, M.; Plestenjak, R.; Cinkelj, J.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Adeniyi-Jones, C.; Ceva, L.; Rauchfuss, H. (2017): M2DC: Modular Microserver Datacentre with Heterogeneous Hardware.
    PUB
     
  • [43]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2915029
    Tlatlik, J.; Hansen, T.; Lachmair, J.; Hagemeyer, J. (2017): Entwurf eines FPGA-basierten Verbindungsknotens als Prototypenumgebung für energieeffiziente und sichere Gebäudeautomationssysteme. In: Sven-Olaf Schulze; Christian Tschirner; Rüdiger Kaffenberger; Sascha Ackva (Hrsg.): Tag des Systems Engineering: Paderborn, 8. -10. November 2017. München: Carl Hanser Verlag GmbH Co KG. S. 55-- 64.
    PUB
     
  • [42]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909044
    Lachmair, J.; Mieth, T.; Griessl, R.; Hagemeyer, J.; Porrmann, M. (2017): From CPU to FPGA – Acceleration of Self-Organizing Maps for Data Mining. In: International Joint Conference on Neural Networks (IJCNN 2017). S. 4299-4308.
    PUB
     
  • [41]
    2016 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2908973
    Cozzi, D.; Korf, S.; Cassano, L.; Hagemeyer, J.; Domenici, A.; Bernardeschi, C.; Porrmann, M.; Sterpone, L. (2016): OLT(RE)²: an On-Line on-demand Testing approach for permanent Radiation Effects in REconfigurable systems IEEE Transactions on Emerging Topics in Computing,PP:(99): 1-1.
    PUB | DOI | WoS
     
  • [40]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908974
    Oleksiak, A.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Christmann, W.; vor dem Berge, M.; Krupop, S.; Cudennec, L.; Cecowski, M.; Plestenjak, R.; Agosta, G.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Cinkelj, J.; Goubier, T.; Philippe, J. M.; Rosinger, S.; Schlitt, D.; Pieper, C.; Adeniyi-Jones, C.; Janssen, U.; Ceva, L. (2016): Data centres for IoT applications: The M2DC approach (Invited paper). In: 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS). IEEE. S. 293-299.
    PUB | DOI
     
  • [39]
    2016 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2903257 OA
    Kierzynka, M.; Kosmann, L.; vor dem Berge, M.; Krupop, S.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Oleksiak, A. (2016): Energy Efficiency of Sequence Alignment Tools - Software and Hardware Perspectives Future Generation Computer Systems,67: 455-465.
    PUB | PDF | DOI | Download (ext.) | WoS
     
  • [38]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2908980
    Cecowski, M.; Agosta, G.; Oleksiak, A.; Kierzynka, M.; vor dem Berge, M.; Christmann, W.; Krupop, S.; Porrmann, M.; Hagemeyer, J.; Griessl, R.; Peykanu, M.; Tigges, L.; Rosinger, S.; Schlitt, D.; Pieper, C.; Brandolese, C.; Fornaciari, W.; Pelosi, G.; Plestenjak, R.; Cinkelj, J.; Cudennec, L.; Goubier, T.; Philippe, J. - M.; Janssen, U.; Adeniyi-Jones, C. (2016): The M2DC Project: Modular Microserver DataCentre. In: 2016 Euromicro Conference on Digital System Design (DSD). Institute of Electrical and Electronics Engineers (IEEE).
    PUB | DOI
     
  • [37]
    2016 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2909602
    Griessl, R.; Peykanu, M.; Tigges, L.; Hagemeyer, J.; Porrmann, M. (2016): FiPS and M2DC: Novel Architectures for Reconfigurable Hyperscale Servers.
    PUB | Download (ext.)
     
  • [36]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [35]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-8.
    PUB | DOI
     
  • [34]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902039 OA
    Griessl, R.; Peykanu, M.; Hagemeyer, J.; Porrmann, M.; Krupop, S.; vor dem Berge, M.; Kosmann, L.; Knocke, P.; Kierzynka, M.; Oleksiak, A. (2015): FPGA-accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters.
    PUB | PDF
     
  • [33]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681323
    Sabena, D.; Sterpone, L.; Schölzel, M.; Koal, T.; Vierhaus, H. T.; Wong, S.; Glein, R.; Rittner, F.; Stender, C.; Porrmann, M.; Hagemeyer, J. (2014): Reconfigurable High Performance Architectures: How much are they ready for safety-critical applications. In: Proceedings of 19th IEEE European Test Symposium (ETS). IEEE. S. 175-182.
    PUB | DOI | Download (ext.)
     
  • [32]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698999
    Sorrenti, D.; Cozzi, D.; Korf, S.; Cassano, L.; Hagemeyer, J.; Porrmann, M.; Bernadeschi, C. (2014): Exploiting Dynamic Partial Reconfiguration for On-Line On-Demand Testing of Permanent Faults in Reconfigurable Systems.
    PUB | DOI | Download (ext.)
     
  • [31]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2699005
    Cozzi, D.; Jungewelter, D.; Kleibrink, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Ilstad, J. (2014): AXI-based SpaceFibre IP CORE Implementation. S. 196-201.
    PUB | DOI | Download (ext.)
     
  • [30]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698930
    Griessl, R.; Peykanu, M.; Hagemeyer, J.; Porrmann, M.; Krupop, S.; Vor dem Berge, M.; Kiesel, T.; Christmann, W. (2014): A Scalable Server Architecture for Next-Generation Heterogeneous Compute Clusters. In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, EUC 2014. IEEE. S. 146-153.
    PUB | DOI | Download (ext.)
     
  • [29]
    2014 | Konferenzbeitrag | PUB-ID: 2681362
    Cassano, L.; Cozzi, D.; Jungewelter, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Bernadeschi, C. (2014): An Inter-Processor Communication Interface for Data-Flow Centric Heterogeneous Embedded Multiprocessor Systems. S. 133-138.
    PUB | DOI | Download (ext.)
     
  • [28]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S.; Sievers, G.; Ax, J.; Cozzi, D.; Jungeblut, T.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2013): Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In: Jürgen Gausemeier; Roman Dumitrescu; Franz Rammig; Ansgar Trächtler (Hrsg.): Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn. (HNI-Verlagsschriftenreihe, 310). S. 79-90.
    PUB | PDF
     
  • [27]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2622226
    Sterpone, L.; Porrmann, M.; Hagemeyer, J. (2013): A Novel Fault Tolerant and Runtime Reconfigurable Platform for Satellite Payload Processing IEEE Transactions on Computers,62:(8): 1508-1525.
    PUB | DOI | WoS
     
  • [26]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681289
    Desogus, M.; Sterpone, L.; Porrmann, M.; Hagemeyer, J.; Illstad, J. (2013): Hardening Dynamically Reconfigurable Processing Modules Architectures: A Neutron Test Experience. In: RADECS proceedings. IEEE / Institute of Electrical and Electronics Engineers. (2). S. 13-16.
    PUB
     
  • [25]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2681304
    Sterpone, L.; Sabena, D.; Ullah, A.; Porrmann, M.; Hagemeyer, J.; Ilstad, J. (2013): Dynamic neutron testing of Dynamically Reconfigurable Processing Modules architecture. In: Adaptive Hardware and Systems (AHS), 2013 NASA/ESA Conference on. IEEE. S. 184-188.
    PUB | DOI | Download (ext.)
     
  • [24]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576042
    Cassano, L.; Cozzi, D.; Korf, S.; Hagemeyer, J.; Porrmann, M.; Sterpone, L. (2013): On-Line Testing of Permanent Radiation Effects in Reconfigurable Systems. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013. Piscataway, NJ: IEEE. S. 717-720.
    PUB | DOI
     
  • [23]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    Romoth, J.; Jungewelter, D.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2012): Optimizing inter-FPGA communication by automatic channel adaptation. In: 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico . Piscataway, NJ: IEEE. S. 1-7.
    PUB | DOI
     
  • [22]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    Hagemeyer, J.; Hilgenstein, A.; Jungewelter, D.; Cozzi, D.; Felicetti, C.; Rückert, U.; Korf, S.; Köster, M.; Margaglia, F.; Porrmann, M.; Dittmann, F.; Ditze, M.; Harris, J.; Sterpone, L.; Ilstad, J. (2012): A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing. In: 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012). Piscataway, NJ: IEEE. S. 9-16.
    PUB | DOI | Download (ext.)
     
  • [21]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    Korf, S.; Cozzi, D.; Koester, M.; Hagemeyer, J.; Porrmann, M.; Rückert, U.; Santambrogio, M. D. (2011): Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs. In: Paul Chow (Hrsg.): IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings . Piscataway, NJ: IEEE. S. 125-132.
    PUB | DOI | Download (ext.)
     
  • [20]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493823
    Grawinkel, M.; Schäfers, T.; Brinkmann, A.; Hagemeyer, J.; Porrmann, M. (2011): Evaluation of Applied Intra-Disk Redundancy Schemes to Improve Single Disk Reliability. In: Association for Computing Machinery; Institute of Electrical and Electronics Engineers; Nanyang Technological University (Hrsg.): MASCOTS2011 The 19th Annual Meeting of the IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.. Piscataway, NJ: IEEE. S. 297-306.
    PUB | DOI | Download (ext.)
     
  • [19]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493819
    Sterpone, L.; Margaglia, F.; Köster, M.; Hagemeyer, J.; Porrmann, M. (2011): Analysis of SEU Effects in Partially Reconfigurable SoPCs. In: European Space Agency; Jet Propulsion Laboratory; USA. National Aeronautics and Space Administration; The University of Edinburgh. National Aeronautics and Space Administration (Hrsg.): Proceedings of NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2011). Piscataway, NJ: IEEE. S. 129-136.
    PUB | DOI
     
  • [18]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    Romoth, J.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2011): Fast Design-space Exploration with FPGA Cluster. In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing.
    PUB | Download (ext.)
     
  • [17]
    2011 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2494497
    Köster, M.; Hagemeyer, J.; Margaglia, F.; Porrmann, M.; Dittmann, F.; Ditze, M.; Sterpone, L.; Harris, J.; Ilstad, J. (2011): Design Flow for a Fault-Tolerant Reconfigurable Multi-FPGA Architecture for Space Applications. In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing.
    PUB
     
  • [16]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493826
    Dittmann, F.; Linke, M.; Hagemeyer, J.; Köster, M.; Lallet, J.; Pohl, C.; Porrmann, M.; Harris, J.; Ilstad, J. (2010): Implementation of a Dynamically Reconfigurable Processing Module for SpaceWire Networks. In: Proceedings of the International SpaceWire Conference 2010. S. 193-196.
    PUB | Download (ext.)
     
  • [15]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472693 OA
    Porrmann, M.; Hagemeyer, J.; Pohl, C.; Romoth, J.; Strugholtz, M. (2010): RAPTOR – A Scalable Platform for Rapid Prototyping and FPGA-based Cluster Computing. In: Parallel Computing: From Multicores and GPU's to Petascale, Advances in Parallel Computing. IOS press. (19). S. 592-599.
    PUB | PDF
     
  • [14]
    2010 | Patent | Veröffentlicht | PUB-ID: 2494087
    Christmann, W.; Strugholtz, M.; Hagemeyer, J.; Porrmann, M. (2010): Mehrprozessor-Computersystem
    PUB
     
  • [13]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    Koester, M.; Luk, W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2010): Design Optimizations for Tiled Partially Reconfigurable Systems IEEE Transactions on Very Large Scale Integration (VLSI) Systems,19:(6): 1048-1061.
    PUB | DOI | WoS
     
  • [12]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472673
    Koester, M.; Luk, W.; Hagemeyer, J.; Porrmann, M. (2009): Design Optimizations to Improve Placeability of Partial Reconfiguration Modules. In: European Design Automation Association (Hrsg.): Proceedings of the International Conference on Design, Automation and Test in Europe (DATE 2009). Piscataway, NJ: ACM Press.
    PUB | DOI | Download (ext.)
     
  • [11]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472678
    Porrmann, M.; Hagemeyer, J.; Romoth, J.; Strugholtz, M. (2009): Rapid Prototyping of Next-Generation Multiprocessor SoCs. In: Proceedings of Semiconductor Conference Dresden, SCD 2009. Dresden, Germany.
    PUB
     
  • [10]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472686
    Grassi, P. R.; Santambrogio, M.; Hagemeyer, J.; Pohl, C.; Porrmann, M. (2009): SiLLis: A Simplified Language for Monitoring and Debugging of Reconfigurable Systems. In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '09). Las Vegas, USA. S. 174-180.
    PUB
     
  • [9]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    Paiz, C.; Pohl, C.; Radkowski, R.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09). The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE. S. 372-375.
    PUB | DOI
     
  • [8]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    Pohl, C.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09). Sydney, Australia: IEEE. S. 368-371.
    PUB | DOI
     
  • [7]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    Paiz, C.; Hagemeyer, J.; Pohl, C.; Porrmann, M.; Rückert, U.; Schulz, B.; Peters, W.; Böcker, J. (2009): FPGA-Based Realization of Self-Optimizing Drive-Controllers. In: IEEE Industrial Electronics Society; Institute of Electrical and Electronics Engineers; Keisoku-jidō-seigyō-gakkai; Universidade do Porto (Hrsg.): the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009). Piscataway, NJ: IEEE. S. 2868-2873.
    PUB | PDF | DOI | Download (ext.)
     
  • [6]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472725
    Hagemeyer, J.; Koester, M.; Porrmann, M. (2008): Hardware Virtualization Exploiting Dynamically Reconfigurable Architectures. In: 1. GI/ITG KuVS Fachgespräch Virtualisierung. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [5]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472738
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): Design of Homogeneous Communication Infrastructures for Partially Reconfigurable FPGAs. In: Proc. of the Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA '07). Las Vegas, USA.
    PUB | Download (ext.)
     
  • [4]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472729
    Schulz, B.; Paiz, C.; Hagemeyer, J.; Mathapati, S.; Porrmann, M.; Böcker, J. (2007): Run-Time Reconfiguration of FPGA-Based Drive Controllers. In: European Conference on Power Electronics and Applications (EPE 2007). Aalborg, Denmark: IEEE.
    PUB | DOI
     
  • [3]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472743
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGAS. In: IEEE Circuits and Systems Society; Technische Universiteit Delft (Hrsg.): Proceedings of the 17th International Conference on Field Programmable Logic and Applications (FPL). Amsterdam, Netherlands: IEEE. S. 331-338.
    PUB | DOI
     
  • [2]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2472748
    Hagemeyer, J.; Kettelhoit, B.; Koester, M.; Porrmann, M. (2007): INDRA – Integrated Design Flow for Reconfigurable Architectures. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth.
    PUB | Download (ext.)
     
  • [1]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2473942
    Hagemeyer, J.; Kettelhoit, B.; Porrmann, M. (2006): Dedicated Module Access in Dynamically Reconfigurable Systems. In: Association for Computing Machinery (Hrsg.): Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS). Washington, DC: IEEE. (ACM Digital Library, ). S. 1.
    PUB | DOI
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung