17 Publikationen

Alle markieren

  • [17]
    2019 | Bielefelder E-Dissertation | PUB-ID: 2937273 OA
    Ax, J. (2019). On-Chip-Netzwerk-Architekturen für eingebettete hierarchische Multiprozessoren. Bielefeld: Universität Bielefeld. doi:10.4119/unibi/2937273
    PUB | PDF | DOI
     
  • [16]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J., Sievers, G., Daberkow, J., Flasskamp, M., Vohrmann, M., Jungeblut, T., Kelly, W., et al. (2018). CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories. IEEE Transactions on Parallel and Distributed Systems, 29(5), 1030-1043. doi:10.1109/TPDS.2017.2785799
    PUB | PDF | DOI | WoS
     
  • [15]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C., Flasskamp, M., Ax, J., Jungeblut, T., Kelly, W., Porrmann, M., & Rückert, U. (2018). Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom.
    PUB
     
  • [14]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G., Hübener, B., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., & Porrmann, M. (2017). The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In W. Hussain, J. Nurmi, J. Isoaho, & F. Garzia (Eds.), Computing Platforms for Software-Defined Radio (pp. 29--59). Cham, Switzerland: Springer International Publishing. doi:10.1007/978-3-319-49679-5_3
    PUB | DOI
     
  • [13]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J., Kucza, N., Vohrmann, M., Jungeblut, T., Porrmann, M., & Rückert, U. (Accepted). Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17)
    PUB
     
  • [12]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M., Sievers, G., Ax, J., Klarhorst, C., Jungeblut, T., Kelly, W., Thies, M., et al. (2016). Performance Estimation of Streaming Applications for Hierarchical MPSoCs. Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), 1. New York, NY: ACM Press. doi:10.1145/2852339.2852342
    PUB | PDF | DOI
     
  • [11]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J., Sievers, G., Flasskamp, M., Kelly, W., Jungeblut, T., & Porrmann, M. (2015). System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc), 3-8. New York, NY, USA: ACM. doi:10.1145/2835512.2835513
    PUB | PDF | DOI
     
  • [10]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, J., Flasskamp, M., Sievers, G., Klarhorst, C., Jungeblut, T., & Kelly, W. (2015). An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0).
    PUB | PDF
     
  • [9]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., et al. (2015). Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. 2015 IEEE International Symposium on Circuits & Systems (ISCAS), 1925-1928. IEEE. doi:10.1109/ISCAS.2015.7169049
    PUB | DOI | Download (ext.)
     
  • [8]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., et al. (2015). Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 175-181. IEEE. doi:10.1109/MCSoC.2015.25
    PUB | DOI
     
  • [7]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, J., Buda, A., Schneider, D., Hartfiel, J., Dürkop, L., Jungeblut, T., Jasperneite, J., et al. (2015). Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme. Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus.
    PUB
     
  • [6]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, A., Walter, M., Hartfiel, J., Ax, J., Nussbaum, K., Jungeblut, T., & Porrmann, M. (2015). Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien. Presented at the Automation 2015, Baden-Baden.
    PUB
     
  • [5]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, M., Ax, J., Buda, A., Nussbaum, K., Hartfiel, J., Jungeblut, T., & Porrmann, M. (2014). Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen. Presented at the Kommunikation in der Automation – KommA 2014, Lemgo, Germany.
    PUB | Download (ext.)
     
  • [4]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, W., Flasskamp, M., Sievers, G., Ax, J., Chen, J., Klarhorst, C., Ragg, C., et al. (2014). A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC. International Symposium on System-on-Chip (SoC) Tampere, Finland: IEEE. doi:10.1109/ISSOC.2014.6972436
    PUB | DOI | Download (ext.)
     
  • [3]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S., Sievers, G., Ax, J., Cozzi, D., Jungeblut, T., Hagemeyer, J., Porrmann, M., et al. (2013). Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In J. Gausemeier, R. Dumitrescu, F. Rammig, & A. Trächtler (Eds.), HNI-Verlagsschriftenreihe: Vol. 310. Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme (pp. 79-90). Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn.
    PUB | PDF
     
  • [2]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T., Ax, J., Porrmann, M., & Rückert, U. (2012). A TCMS-based architecture for GALS NoCs. In IEEE Circuits and Systems Society & Institute of Electrical and Electronics Engineers (Eds.), 2012 IEEE International Symposium on Circuits and Systems Piscataway, NJ: IEEE. doi:10.1109/ISCAS.2012.6271870
    PUB | DOI
     
  • [1]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T., Ax, J., Sievers, G., Hübener, B., Porrmann, M., & Rückert, U. (2011). Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). Proc. of the Radar, Communication and Measurement Conference (RADCOM)
    PUB | Dateien verfügbar
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung