66 Publikationen

Alle markieren

  • [66]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, “Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications”, Frontiers in Computational Neuroscience, 2023, 17.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [65]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2985715
    S. Koravuna, S. Ullah, T. Jungeblut, and U. Rückert, in Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I (Eds.: I. Rojas, G. Joya, A. Catala), Springer Nature Switzerland, Cham, 2023, p. 406-417.
    PUB | DOI
     
  • [64]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985713
    S. Ullah, and T. Jungeblut, in 19th International Conference on Machine Learning and Data Mining MLDM, New York USA, 2023.
    PUB | DOI | Download (ext.)
     
  • [63]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985712
    S. Ullah, A. Amanullah, K. Roy, J. - A. Lee, S. Chul-Jun, and T. Jungeblut, in International Conference on Computer Vision (ICCV) 2023, Paris France , 2023.
    PUB | DOI | Download (ext.)
     
  • [62]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, Accepted.
    PUB | DOI | Download (ext.) | Preprint
     
  • [61]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, Published, Paris France , 2023.
    PUB | DOI
     
  • [60]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, “Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim”, International Journal of Neural Systems, 2023, 33, : 2350044.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [59]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, Datatninja Spring School 2023, Bielefeld , 2023.
    PUB | DOI
     
  • [58]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, Neuro-Inspired Computing Elements (Nice 2023), University of Texas at San Antonio, 2023.
    PUB | DOI
     
  • [57]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, in Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings (Eds.: L. Iliadis, I. Maglogiannis, S. Alonso, C. Jayne, E. Pimenidis), Springer Nature Switzerland, Cham, 2023, p. 191-202.
    PUB | DOI
     
  • [56]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    S. Ullah, S. Koravuna, T. Jungeblut, and U. Rückert, Datatninja Spring School 2022, Bielefeld , 2022.
    PUB | DOI
     
  • [55]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    S. Ullah, S. Koravuna, U. Rückert, and T. Jungeblut, in Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings (Eds.: L. Iliadis, C. Jayne, A. Tefas, E. Pimenidis), Springer International Publishing, Cham, 2022, p. 40-51.
    PUB | DOI | Download (ext.)
     
  • [54]
    2022 | Preprint | PUB-ID: 2982804
    S. Ullah, S. Koravuna, T. Jungeblut, and U. Rückert, “NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs”, 2022.
    PUB | DOI
     
  • [53]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    J. Ax, N. Kucza, M. Porrmann, U. Rückert, and T. Jungeblut, in Asynchronous Circuit Applications (Eds.: J. Di, S.C. Smith), Institution Of Engineering And Technology (Iet), 2019, p. 173-197.
    PUB | DOI | Download (ext.)
     
  • [52]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    M. Adams, M. Benda, A. Saboor, A. F. Krause, A. Rezeika, F. Gembler, P. Stawicki, M. Hesse, K. Essig, S. Ben-Salem, et al., in 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC), Ieee, Piscataway, NJ, 2019.
    PUB | DOI
     
  • [51]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    A. Cristal, O. S. Unsal, X. Martorell, P. Carpenter, R. De La Cruz, L. Bautista, D. Jimenez, C. Alvarez, B. Salami, S. Madonar, et al., in SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Acm, New York, NY, 2018, p. 210-217.
    PUB | DOI
     
  • [50]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    A. Cristal, O. S. Unsal, X. Martorell, P. Carpenter, R. De La Cruz, L. Bautista, D. Jimenez, C. Alvarez, B. Salami, S. Madonar, et al., in Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (Ed.: T. Mudge), Acm, New York, NY, USA, 2018, p. 210-217.
    PUB | DOI
     
  • [49]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    A. Cristal, O. S. Unsal, X. Martorell, P. Carpenter, R. De La Cruz, L. Bautista, D. Jimenez, C. Alvarez, B. Salami, S. Madonar, et al., in Proceedings of the 15th ACM International Conference on Computing Frontiers (Ed.: D. Kaeli), Acm, New York, NY, 2018, p. 276-278.
    PUB | DOI | Download (ext.)
     
  • [48]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    N. Gayen, J. Ax, M. Flasskamp, C. Klarhorst, T. Jungeblut, M. Tang, and W. Kelly, in 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018), Ieee, Piscataway, NJ, 2018, p. 348-352.
    PUB | DOI
     
  • [47]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2932463
    M. Hesse, A. F. Krause, L. Vogel, T. Schack, and T. Jungeblut, in Technische Unterstützungssysteme, die die Menschen wirklich wollen (Eds.: R. Weidner, A. Karafllidis, Leopold-Franzens-Universität Innsbruck, Institut für Mechatronik), Helmut-Schmidt Universität, Hamburg, 2018, p. 235-243.
    PUB | Dateien verfügbar
     
  • [46]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    J. Ax, G. Sievers, J. Daberkow, M. Flasskamp, M. Vohrmann, T. Jungeblut, W. Kelly, M. Porrmann, and U. Rückert, “CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories”, IEEE Transactions on Parallel and Distributed Systems, 2018, 29, 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [45]
    2018 | Report | Veröffentlicht | PUB-ID: 2934956
    T. Korthals, T. Krause, and T. Jungeblut, Elektronische Umfelderkennung bei Erntemaschinen. Verbundprojekt itsOWL-EUE innerhalb des Spitzenclusters it's OWL : Abschlussbericht des itsOWL-EUE Konsortiums, 2018.
    PUB | DOI
     
  • [44]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    C. Klarhorst, M. Flasskamp, J. Ax, T. Jungeblut, W. Kelly, M. Porrmann, and U. Rückert, 2018.
    PUB
     
  • [43]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2911527
    F. Wittenfeld, M. Hesse, and T. Jungeblut, in Wissenschaftsforum Intelligente Technische Systeme (WInTeSys) 2017 (Eds.: E. Bodden, F. Dressler, R. Dumitrescu, J. Gausemeier, F. Meyer auf der Heide, C. Scheytt, A. Trächtler), Heinz Nixdorf Institut, Universität Paderborn, Paderborn, 2017, p. 241-253.
    PUB
     
  • [42]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2914984
    M. Hesse, T. Born, and T. Jungeblut, in Tag des Systems Engineering: Paderborn, 8. -10. November 2017 (Eds.: S.-O. Schulze, C. Tschirner, R. Kaffenberger, S. Ackva), Carl Hanser Verlag Gmbh Co Kg, München, 2017, p. 35-44.
    PUB | Dateien verfügbar
     
  • [41]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    G. Sievers, B. Hübener, J. Ax, M. Flasskamp, W. Kelly, T. Jungeblut, and M. Porrmann, in Computing Platforms for Software-Defined Radio (Eds.: W. Hussain, J. Nurmi, J. Isoaho, F. Garzia), Springer International Publishing, Cham, Switzerland, 2017, p. 29--59.
    PUB | DOI
     
  • [40]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909571 OA
    M. Hesse, A. F. Krause, L. Vogel, B. Chamadiya, M. Schilling, T. Schack, and T. Jungeblut, “A Connected Chair as Part of a Smart Home Environment”, Proceedings of IEEE 14th International Conference on Wearable and Implantable Body Sensor Networks, 2017, 47-50.
    PUB | PDF | DOI
     
  • [39]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    J. Ax, N. Kucza, M. Vohrmann, T. Jungeblut, M. Porrmann, and U. Rückert, in IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17), Accepted.
    PUB
     
  • [38]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    M. Flasskamp, G. Sievers, J. Ax, C. Klarhorst, T. Jungeblut, W. Kelly, M. Thies, and M. Porrmann, in Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), Acm Press, New York, NY, 2016, p. 1.
    PUB | PDF | DOI
     
  • [37]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906479
    M. Kragh, P. Christiansen, T. Korthals, T. Jungeblut, H. Karstoft, and R. N. Jørgensen, in International Conference on Agricultural Engineering, International Commission Of Agricultural And Biosystems Engineering, Aarhus, 2016.
    PUB | Download (ext.)
     
  • [36]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902860 OA
    T. Korthals, A. Skiba, T. Krause, and T. Jungeblut, in Informatik in der Land-, Forst- und Ernährungswirtschaft - Intelligente Systeme - Stand der Technik und neue Möglichkeiten (Eds.: A. Ruckelshausen, A. Meyer-Aurich, T. Rath, G. Recke, B. Theuvsen), 2016, p. 97-100.
    PUB | PDF
     
  • [35]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    J. Ax, G. Sievers, M. Flasskamp, W. Kelly, T. Jungeblut, and M. Porrmann, in Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc), Acm, New York, NY, USA, 2015, p. 3-8.
    PUB | PDF | DOI
     
  • [34]
    2015 | Report | PUB-ID: 2783874 OA
    J. Ax, M. Flasskamp, G. Sievers, C. Klarhorst, T. Jungeblut, and W. Kelly, An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0), 2015.
    PUB | PDF
     
  • [33]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    G. Sievers, J. Ax, N. Kucza, M. Flasskamp, T. Jungeblut, W. Kelly, M. Porrmann, and U. Rückert, in 2015 IEEE International Symposium on Circuits & Systems (ISCAS), Ieee, 2015, p. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [32]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    G. Sievers, J. Daberkow, J. Ax, M. Flasskamp, W. Kelly, T. Jungeblut, M. Porrmann, and U. Rückert, in International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Ieee, 2015, p. 175-181.
    PUB | DOI
     
  • [31]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2759093
    U. Damerow, M. Borzykh, D. Tabakajew, W. Schaermann, M. Hesse, W. Homberg, A. Trächtler, T. Jungeblut, and J. S. Michels, “Intelligente Biegeverfahren”, wt Werkstattstechnik online, 2015, 2015, 427-432.
    PUB | Dateien verfügbar | Download (ext.)
     
  • [30]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    L. Keuck, F. Hemmelgarn, N. Frohleke, J. Bocker, M. Vohrmann, T. Jungeblut, and U. Rückert, in 2014 International Conference on Advances in Green Energy (ICAGE), Institute Of Electrical And Electronics Engineers (Ieee), 2015.
    PUB | DOI
     
  • [29]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    J. Ax, A. Buda, D. Schneider, J. Hartfiel, L. Dürkop, T. Jungeblut, J. Jasperneite, A. Vedral, and U. Rückert, 2015.
    PUB
     
  • [28]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    A. Buda, M. Walter, J. Hartfiel, J. Ax, K. Nussbaum, T. Jungeblut, and M. Porrmann, 2015.
    PUB
     
  • [27]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2752706
    C. Ragg, T. Jungeblut, and B. Jurke, “Intelligente Werkzeugmaschinen”, wt Werkstattstechnik online, 2015, 105, 252-256.
    PUB | Download (ext.)
     
  • [26]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    S. Herbrechtsmeier, T. Jungeblut, and M. Porrmann, in Entwurf mechatronischer Systeme, Hni Verlagsschriftenreihe, Paderborn, 2015.
    PUB
     
  • [25]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    M. Vohrmann, S. Chatterjee, S. Lütkemeier, T. Jungeblut, M. Porrmann, and U. Rückert, Ieee, 2015.
    PUB | DOI
     
  • [24]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2700385
    W. Schaermann, M. Borzykh, A. Trächtler, D. Tabakajew, U. Damerow, W. Homberg, M. Hesse, and T. Jungeblut, in Automation 2014 Smart X - powered by automation. Teil 2 (Ed.: P. Adolphs), Vdi-Verlag, Düsseldorf, 2014, p. 1103-1116.
    PUB | Dateien verfügbar
     
  • [23]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    M. Walter, J. Ax, A. Buda, K. Nussbaum, J. Hartfiel, T. Jungeblut, and M. Porrmann, 2014.
    PUB | Download (ext.)
     
  • [22]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    B. Hübener, G. Sievers, T. Jungeblut, M. Porrmann, and U. Rückert, in Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, Ieee, 2014, p. 9-16.
    PUB | DOI
     
  • [21]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    W. Kelly, M. Flasskamp, G. Sievers, J. Ax, J. Chen, C. Klarhorst, C. Ragg, T. Jungeblut, and A. Sorensen, in International Symposium on System-on-Chip (SoC), Ieee, Tampere, Finland, 2014.
    PUB | DOI | Download (ext.)
     
  • [20]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    S. Lütkemeier, T. Jungeblut, H. K. O. Berge, S. Aunet, M. Porrmann, and U. Rückert, “A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control”, IEEE Journal Of Solid-State Circuits, 2013, 48, 8-19.
    PUB | DOI | WoS
     
  • [19]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    S. Korf, G. Sievers, J. Ax, D. Cozzi, T. Jungeblut, J. Hagemeyer, M. Porrmann, and U. Rückert, in Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme (Eds.: J. Gausemeier, R. Dumitrescu, F. Rammig, A. Trächtler), Heinz-Nixdorf-Inst., Univ. Paderborn, Paderborn, 2013, p. 79-90.
    PUB | PDF
     
  • [18]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    G. Sievers, P. Christ, J. Einhaus, T. Jungeblut, M. Porrmann, and U. Rückert, in 2013 NORCHIP, 2013.
    PUB | DOI
     
  • [17]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    P. Christ, G. Sievers, J. Einhaus, T. Jungeblut, M. Porrmann, and U. Rückert, in Proceedings of the 12th IEEE International Conference on SENSORS, Ieee, 2013, p. 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [16]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    T. Jungeblut, B. Hübener, M. Porrmann, and U. Rückert, “A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors”, ACM Trans. Embed. Comput. Syst., 2013, 13, 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [15]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    S. Lütkemeier, T. Jungeblut, M. Porrmann, and U. Rückert, in Proc. of the International Solid-State Circuits Conference (ISSCC) (Ed.: Institute of Electrical and Electronics Engineers), Ieee, Piscataway, NJ, 2012, p. 484-485.
    PUB | DOI
     
  • [14]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    T. Jungeblut, J. Ax, M. Porrmann, and U. Rückert, in 2012 IEEE International Symposium on Circuits and Systems (Eds.: IEEE Circuits and Systems Society, Institute of Electrical and Electronics Engineers), Ieee, Piscataway, NJ, 2012.
    PUB | DOI
     
  • [13]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    T. Jungeblut, J. Ax, G. Sievers, B. Hübener, M. Porrmann, and U. Rückert, in Proc. of the Radar, Communication and Measurement Conference (RADCOM), 2011.
    PUB | Dateien verfügbar
     
  • [12]
    2011 | Bielefelder E-Dissertation | PUB-ID: 2407551 OA
    T. Jungeblut, Entwurfsraumexploration ressourceneffizienter VLIW-Prozessoren, Universität Bielefeld, Bielefeld, 2011.
    PUB | PDF
     
  • [11]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    T. Jungeblut, C. Liß, M. Porrmann, and U. Rückert, in Cross Layer Designs in WLAN Systems (Eds.: N. Zorba, C. Skianis, C. Verikoukis), Troubador Publishing, Leicester, UK, 2011, p. 521-564.
    PUB
     
  • [10]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    T. Jungeblut, G. Sievers, M. Porrmann, and U. Rückert, in 5th IEEE International Conference on Networking, Architecture, and Storage, 2010, p. 377-385.
    PUB | DOI
     
  • [9]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    T. Jungeblut, R. Dreesen, M. Porrmann, M. Thies, U. Rückert, and U. Kastens, 2Nd International Icst Conference On Mobile Lightweight Wireless Systems, 2010.
    PUB | Download (ext.)
     
  • [8]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    T. Jungeblut, S. Lütkemeier, G. Sievers, M. Porrmann, and U. Rückert, Cdnlive! Emea 2010, 2010.
    PUB | Dateien verfügbar
     
  • [7]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    T. Jungeblut, C. Puttmann, R. Dreesen, M. Porrmann, M. Thies, U. Rückert, and U. Kastens, “Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography”, Advances in Radio Science, 2010, 8, 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [6]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2019027
    R. Dreesen, T. Jungeblut, M. Thies, and U. Kastens, in Proceedings of the 8th Workshop on Optimizations for DSP and Embedded Systems, 2010.
    PUB | Download (ext.)
     
  • [5]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    R. Dreesen, T. Jungeblut, M. Thies, M. Porrmann, U. Rückert, and U. Kastens, in Proceedings of the International Embedded Systems Symposium 2009 (IESS '09), Schloss Langenargen, Germany, 2009, p. 207-217.
    PUB | Download (ext.)
     
  • [4]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    T. Jungeblut, D. Klassen, R. Dreesen, M. Porrmann, M. Thies, U. Rückert, and U. Kastens, in Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009, 2009.
    PUB
     
  • [3]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    T. Jungeblut, M. Grünewald, M. Porrmann, and U. Rückert, “Realtime multiprocessor for mobile ad hoc networks”, Advances in Radio Science, 2008, 6, 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [2]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    T. Jungeblut, R. Dreesen, M. Porrmann, U. Rückert, and U. Hachmann, in University Booth of the Design, Automation and Test in Europe (DATE) conference, 2008.
    PUB
     
  • [1]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    T. Jungeblut, M. Grünewald, M. Porrmann, and U. Rückert, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007, 2007.
    PUB | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung