66 Publikationen

Alle markieren

  • [66]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications Frontiers in Computational Neuroscience,17
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [65]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2985715
    Koravuna, S.; Ullah, S.; Jungeblut, T.; Rückert, U. (2023): Digit Recognition Using Spiking Neural Networks on FPGA. In: Ignacio Rojas; Gonzalo Joya; Andreu Catala (Hrsg.): Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I. Cham: Springer Nature Switzerland. (Lecture Notes in Computer Science, ). S. 406-417.
    PUB | DOI
     
  • [64]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985713
    Ullah, S.; Jungeblut, T. (2023): Analysis of MR Images for Early and Accurate Detection of Brain Tumor using Resource Efficient Simulator Brain Analysis. In: 19th International Conference on Machine Learning and Data Mining MLDM. New York USA.
    PUB | DOI | Download (ext.)
     
  • [63]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985712
    Ullah, S.; Amanullah, A.; Roy, K.; Lee, J. - A.; Chul-Jun, S.; Jungeblut, T. (2023): A Hybrid Spiking-Convolutional Neural Network Approach for Advancing High-Quality Image Inpainting. In: International Conference on Computer Vision (ICCV) 2023. Paris France .
    PUB | DOI | Download (ext.)
     
  • [62]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (Accepted): A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs.
    PUB | DOI | Download (ext.) | Preprint
     
  • [61]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs. Paris France : Published.
    PUB | DOI
     
  • [60]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim International Journal of Neural Systems,33:(09):2350044
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [59]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Evaluating Spiking Neural Network Models: A Comparative Performance Analysis. Bielefeld : Datatninja Spring School 2023.
    PUB | DOI
     
  • [58]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures. University of Texas at San Antonio: Neuro-Inspired Computing Elements (NICE 2023).
    PUB | DOI
     
  • [57]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection. In: Lazaros Iliadis; Ilias Maglogiannis; Serafin Alonso; Chrisina Jayne; Elias Pimenidis (Hrsg.): Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings. Cham: Springer Nature Switzerland. (Communications in Computer and Information Science, ). S. 191-202.
    PUB | DOI
     
  • [56]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    Ullah, S.; Koravuna, S.; Jungeblut, T.; Rückert, U. (2022): Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation. Bielefeld : Datatninja Spring School 2022.
    PUB | DOI
     
  • [55]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2022): SNNs Model Analyzing and Visualizing Experimentation Using RAVSim. In: Lazaros Iliadis; Chrisina Jayne; Anastasios Tefas; Elias Pimenidis (Hrsg.): Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings. Cham: Springer International Publishing. (Communications in Computer and Information Science, ). S. 40-51.
    PUB | DOI | Download (ext.)
     
  • [54]
    2022 | Preprint | PUB-ID: 2982804
    Ullah, S.; Koravuna, S.; Jungeblut, T.; Rückert, U. (2022): NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs
    PUB | DOI
     
  • [53]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    Ax, J.; Kucza, N.; Porrmann, M.; Rückert, U.; Jungeblut, T. (2019): Asynchronous network-on-chips (NoCs) for resource efficient many core architectures. In: Jia Di; Scott C. Smith (Hrsg.): Asynchronous Circuit Applications. Institution of Engineering and Technology (IET). S. 173-197.
    PUB | DOI | Download (ext.)
     
  • [52]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    Adams, M.; Benda, M.; Saboor, A.; Krause, A. F.; Rezeika, A.; Gembler, F.; Stawicki, P.; Hesse, M.; Essig, K.; Ben-Salem, S.; Islam, Z.; Vogelsang, A.; Jungeblut, T.; Rückert, U.; Volosyak, I. (2019): Towards an SSVEP-BCI Controlled Smart Home. In: 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [51]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY: ACM. S. 210-217.
    PUB | DOI
     
  • [50]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Hadar, E.; Etsion, Y.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Mudge, T.; Pnevmatikatos, D. N. (2018): LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing. In: Trevor Mudge (Hrsg.): Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY, USA: ACM. S. 210-217.
    PUB | DOI
     
  • [49]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, A.; Unsal, O. S.; Martorell, X.; Carpenter, P.; De La Cruz, R.; Bautista, L.; Jimenez, D.; Alvarez, C.; Salami, B.; Madonar, S.; Pericàs, M.; Trancoso, P.; vor dem Berge, M.; Billung-Meyer, G.; Krupop, S.; Christmann, W.; Klawonn, F.; Mihklafi, A.; Becker, T.; Gaydadjiev, G.; Salomonsson, H.; Dubhashi, D.; Port, O.; Etsion, Y.; Nowack, V.; Fetzer, C.; Hagemeyer, J.; Jungeblut, T.; Kucza, N.; Kaiser, M.; Porrmann, M.; Pasin, M.; Schiavoni, V.; Rocha, I.; Göttel, C.; Felber, P.; Kaeli, D.; Pericàs, M. (2018): LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing. In: David Kaeli (Hrsg.): Proceedings of the 15th ACM International Conference on Computing Frontiers. New York, NY: ACM. S. 276-278.
    PUB | DOI | Download (ext.)
     
  • [48]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    Gayen, N.; Ax, J.; Flasskamp, M.; Klarhorst, C.; Jungeblut, T.; Tang, M.; Kelly, W. (2018): Scalable Mapping of Streaming Applications onto MPSoCs Using Optimistic Mixed Integer Linear Programming. In: 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018). Piscataway, NJ: IEEE. S. 348-352.
    PUB | DOI
     
  • [47]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2932463
    Hesse, M.; Krause, A. F.; Vogel, L.; Schack, T.; Jungeblut, T. (2018): KogniCoach - Entwicklung und Evaluierung eines in das Wohnumfeld integrierten persönlichen Trainers mit vernetztem Sessel. In: Robert Weidner; Athanasios Karafllidis; Leopold-Franzens-Universität Innsbruck, Institut für Mechatronik (Hrsg.): Technische Unterstützungssysteme, die die Menschen wirklich wollen. Hamburg: Helmut-Schmidt Universität. S. 235-243.
    PUB | Dateien verfügbar
     
  • [46]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J.; Sievers, G.; Daberkow, J.; Flasskamp, M.; Vohrmann, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories IEEE Transactions on Parallel and Distributed Systems,29:(5): 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [45]
    2018 | Report | Veröffentlicht | PUB-ID: 2934956
    Korthals, T.; Krause, T.; Jungeblut, T. (2018): Elektronische Umfelderkennung bei Erntemaschinen. Verbundprojekt itsOWL-EUE innerhalb des Spitzenclusters it's OWL : Abschlussbericht des itsOWL-EUE Konsortiums.
    PUB | DOI
     
  • [44]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C.; Flasskamp, M.; Ax, J.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems.
    PUB
     
  • [43]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2911527
    Wittenfeld, F.; Hesse, M.; Jungeblut, T. (2017): Optische Vermessung bewegter Rotationskörper in industriellen Fertigungsanlagen. In: Eric Bodden; Falko Dressler; Roman Dumitrescu; Jürgen Gausemeier; Friedhelm Meyer auf der Heide; Christoph Scheytt; Ansgar Trächtler (Hrsg.): Wissenschaftsforum Intelligente Technische Systeme (WInTeSys) 2017. Paderborn: Heinz Nixdorf Institut, Universität Paderborn. (Verlagsschriftenreihe des Heinz Nixdorf Instituts, 369). S. 241-253.
    PUB
     
  • [42]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2914984
    Hesse, M.; Born, T.; Jungeblut, T. (2017): Modellgestützter Entwurf eines Multisensormoduls für die Gebäudeautomation. In: Sven-Olaf Schulze; Christian Tschirner; Rüdiger Kaffenberger; Sascha Ackva (Hrsg.): Tag des Systems Engineering: Paderborn, 8. -10. November 2017. München: Carl Hanser Verlag GmbH Co KG. S. 35-44.
    PUB | Dateien verfügbar
     
  • [41]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G.; Hübener, B.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2017): The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In: Waqar Hussain; Jari Nurmi; Jouni Isoaho; Fabio Garzia (Hrsg.): Computing Platforms for Software-Defined Radio. Cham, Switzerland: Springer International Publishing. S. 29--59.
    PUB | DOI
     
  • [40]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909571 OA
    Hesse, M.; Krause, A. F.; Vogel, L.; Chamadiya, B.; Schilling, M.; Schack, T.; Jungeblut, T. (2017): A Connected Chair as Part of a Smart Home Environment Proceedings of IEEE 14th International Conference on Wearable and Implantable Body Sensor Networks, 47-50.
    PUB | PDF | DOI
     
  • [39]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J.; Kucza, N.; Vohrmann, M.; Jungeblut, T.; Porrmann, M.; Rückert, U. (Accepted): Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. In: IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17).
    PUB
     
  • [38]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M.; Sievers, G.; Ax, J.; Klarhorst, C.; Jungeblut, T.; Kelly, W.; Thies, M.; Porrmann, M. (2016): Performance Estimation of Streaming Applications for Hierarchical MPSoCs. In: Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). New York, NY: ACM Press. S. 1.
    PUB | PDF | DOI
     
  • [37]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906479
    Kragh, M.; Christiansen, P.; Korthals, T.; Jungeblut, T.; Karstoft, H.; Jørgensen, R. N. (2016): Multi-Modal Obstacle Detection and Evaluation of Occupancy Grid Mapping in Agriculture. In: International Conference on Agricultural Engineering. Aarhus: International Commission of Agricultural and Biosystems Engineering.
    PUB | Download (ext.)
     
  • [36]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902860 OA
    Korthals, T.; Skiba, A.; Krause, T.; Jungeblut, T. (2016): Evidenzkarten-basierte Sensorfusion zur Umfelderkennung und Interpretation in der Ernte. In: Arno Ruckelshausen; Andreas Meyer-Aurich; Thomas Rath; Guido Recke; Brigitte Theuvsen (Hrsg.): Informatik in der Land-, Forst- und Ernährungswirtschaft - Intelligente Systeme - Stand der Technik und neue Möglichkeiten. S. 97-100.
    PUB | PDF
     
  • [35]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J.; Sievers, G.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M. (2015): System-Level Analysis of Network Interfaces for Hierarchical MPSoCs. In: Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). New York, NY, USA: ACM. S. 3-8.
    PUB | PDF | DOI
     
  • [34]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, J.; Flasskamp, M.; Sievers, G.; Klarhorst, C.; Jungeblut, T.; Kelly, W. (2015): An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0).
    PUB | PDF
     
  • [33]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G.; Ax, J.; Kucza, N.; Flasskamp, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2015): Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE. S. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [32]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G.; Daberkow, J.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE. S. 175-181.
    PUB | DOI
     
  • [31]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2759093
    Damerow, U.; Borzykh, M.; Tabakajew, D.; Schaermann, W.; Hesse, M.; Homberg, W.; Trächtler, A.; Jungeblut, T.; Michels, J. S. (2015): Intelligente Biegeverfahren wt Werkstattstechnik online,2015:(6): 427-432.
    PUB | Dateien verfügbar | Download (ext.)
     
  • [30]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    Keuck, L.; Hemmelgarn, F.; Frohleke, N.; Bocker, J.; Vohrmann, M.; Jungeblut, T.; Rückert, U. (2015): Neuro control of a PFC rectifier for harmonic reduction at partial loads. In: 2014 International Conference on Advances in Green Energy (ICAGE). Institute of Electrical and Electronics Engineers (IEEE).
    PUB | DOI
     
  • [29]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, J.; Buda, A.; Schneider, D.; Hartfiel, J.; Dürkop, L.; Jungeblut, T.; Jasperneite, J.; Vedral, A.; Rückert, U. (2015): Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme.
    PUB
     
  • [28]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, A.; Walter, M.; Hartfiel, J.; Ax, J.; Nussbaum, K.; Jungeblut, T.; Porrmann, M. (2015): Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien.
    PUB
     
  • [27]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2752706
    Ragg, C.; Jungeblut, T.; Jurke, B. (2015): Intelligente Werkzeugmaschinen wt Werkstattstechnik online,105:(5): 252-256.
    PUB | Download (ext.)
     
  • [26]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    Herbrechtsmeier, S.; Jungeblut, T.; Porrmann, M. (2015): Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der Leiterplattenentwicklung. In: Entwurf mechatronischer Systeme. Paderborn: HNI Verlagsschriftenreihe. (343).
    PUB
     
  • [25]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M.; Chatterjee, S.; Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): A 65 nm Standard Cell Library for Ultra Low-power Applications. IEEE.
    PUB | DOI
     
  • [24]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2700385
    Schaermann, W.; Borzykh, M.; Trächtler, A.; Tabakajew, D.; Damerow, U.; Homberg, W.; Hesse, M.; Jungeblut, T. (2014): Selbstkorrigierende Biegeprozesse in der Umformtechnik. In: Peter Adolphs (Hrsg.): Automation 2014 Smart X - powered by automation. Teil 2. Düsseldorf: VDI-Verlag. ( Verein Deutscher Ingenieure: VDI-Berichte, 2231). S. 1103-1116.
    PUB | Dateien verfügbar
     
  • [23]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, M.; Ax, J.; Buda, A.; Nussbaum, K.; Hartfiel, J.; Jungeblut, T.; Porrmann, M. (2014): Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen.
    PUB | Download (ext.)
     
  • [22]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B.; Sievers, G.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2014): CoreVA: A Configurable Resource-efficient VLIW Processor Architecture. In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE. S. 9-16.
    PUB | DOI
     
  • [21]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, W.; Flasskamp, M.; Sievers, G.; Ax, J.; Chen, J.; Klarhorst, C.; Ragg, C.; Jungeblut, T.; Sorensen, A. (2014): A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC. In: International Symposium on System-on-Chip (SoC). Tampere, Finland: IEEE.
    PUB | DOI | Download (ext.)
     
  • [20]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S.; Jungeblut, T.; Berge, H. K. O.; Aunet, S.; Porrmann, M.; Rückert, U. (2013): A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control IEEE Journal Of Solid-State Circuits,48:(1): 8-19.
    PUB | DOI | WoS
     
  • [19]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S.; Sievers, G.; Ax, J.; Cozzi, D.; Jungeblut, T.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2013): Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In: Jürgen Gausemeier; Roman Dumitrescu; Franz Rammig; Ansgar Trächtler (Hrsg.): Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn. (HNI-Verlagsschriftenreihe, 310). S. 79-90.
    PUB | PDF
     
  • [18]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G.; Christ, P.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications. In: 2013 NORCHIP.
    PUB | DOI
     
  • [17]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P.; Sievers, G.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Pareto-optimal Signal Processing on Low-Power Microprocessors. In: Proceedings of the 12th IEEE International Conference on SENSORS. IEEE. S. 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [16]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T.; Hübener, B.; Porrmann, M.; Rückert, U. (2013): A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors ACM Trans. Embed. Comput. Syst.,13:(2): 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [15]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2012): A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control. In: Institute of Electrical and Electronics Engineers (Hrsg.): Proc. of the International Solid-State Circuits Conference (ISSCC). Piscataway, NJ: IEEE. S. 484-485.
    PUB | DOI
     
  • [14]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T.; Ax, J.; Porrmann, M.; Rückert, U. (2012): A TCMS-based architecture for GALS NoCs. In: IEEE Circuits and Systems Society; Institute of Electrical and Electronics Engineers (Hrsg.): 2012 IEEE International Symposium on Circuits and Systems. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [13]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T.; Ax, J.; Sievers, G.; Hübener, B.; Porrmann, M.; Rückert, U. (2011): Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). In: Proc. of the Radar, Communication and Measurement Conference (RADCOM).
    PUB | Dateien verfügbar
     
  • [12]
    2011 | Bielefelder E-Dissertation | PUB-ID: 2407551 OA
    Jungeblut, T. (2011): Entwurfsraumexploration ressourceneffizienter VLIW-Prozessoren. Bielefeld: Universität Bielefeld.
    PUB | PDF
     
  • [11]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T.; Liß, C.; Porrmann, M.; Rückert, U. (2011): Design-space Exploration for Flexible WLAN Hardware. In: N. Zorba; C. Skianis; C. Verikoukis (Hrsg.): Cross Layer Designs in WLAN Systems. Leicester, UK: Troubador Publishing. S. 521-564.
    PUB
     
  • [10]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): Design Space Exploration for Memory Subsystems of VLIW Architectures. In: 5th IEEE International Conference on Networking, Architecture, and Storage. S. 377-385.
    PUB | DOI
     
  • [9]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): A Framework for the Design Space Exploration of Software-Defined Radio Applications. 2nd International ICST Conference on Mobile Lightweight Wireless Systems.
    PUB | Download (ext.)
     
  • [8]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T.; Lütkemeier, S.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): A modular design flow for very large design space explorations. CDNLive! EMEA 2010.
    PUB | Dateien verfügbar
     
  • [7]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T.; Puttmann, C.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography Advances in Radio Science,8: 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [6]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2019027
    Dreesen, R.; Jungeblut, T.; Thies, M.; Kastens, U. (2010): Dependence Analysis of VLIW Code for Non-Interlocked Pipelines. In: Proceedings of the 8th Workshop on Optimizations for DSP and Embedded Systems.
    PUB | Download (ext.)
     
  • [5]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R.; Jungeblut, T.; Thies, M.; Porrmann, M.; Rückert, U.; Kastens, U. (2009): A Synchronization Method for Register Traces of Pipelined Processors. In: Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany. S. 207-217.
    PUB | Download (ext.)
     
  • [4]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T.; Klassen, D.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2009): Design Space Exploration for Next Generation Wireless Technologies (invited talk). In: Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009.
    PUB
     
  • [3]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2008): Realtime multiprocessor for mobile ad hoc networks Advances in Radio Science,6: 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [2]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Rückert, U.; Hachmann, U. (2008): Design Space Exploration for Resource Efficient VLIW-Processors. In: University Booth of the Design, Automation and Test in Europe (DATE) conference.
    PUB
     
  • [1]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2007): Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007.
    PUB | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung