66 Publikationen

Alle markieren

  • [66]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications”. Frontiers in Computational Neuroscience 17 (2023).
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [65]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2985715
    Koravuna, Shamini, Ullah, Sana, Jungeblut, Thorsten, and Rückert, Ulrich. “Digit Recognition Using Spiking Neural Networks on FPGA”. Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I. Ed. Ignacio Rojas, Gonzalo Joya, and Andreu Catala. Cham: Springer Nature Switzerland, 2023. Lecture Notes in Computer Science. 406-417.
    PUB | DOI
     
  • [64]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985713
    Ullah, Sana, and Jungeblut, Thorsten. “Analysis of MR Images for Early and Accurate Detection of Brain Tumor using Resource Efficient Simulator Brain Analysis”. 19th International Conference on Machine Learning and Data Mining MLDM. New York USA, 2023.
    PUB | DOI | Download (ext.)
     
  • [63]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985712
    Ullah, Sana, Amanullah, Amanullah, Roy, Kaushik, Lee, Jeong-A, Chul-Jun, Son, and Jungeblut, Thorsten. “A Hybrid Spiking-Convolutional Neural Network Approach for Advancing High-Quality Image Inpainting”. International Conference on Computer Vision (ICCV) 2023. Paris France , 2023.
    PUB | DOI | Download (ext.)
     
  • [62]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs”. Presented at the Novel Trends in Data Science 2023, Congressi Stefano Franscini at Monte Verità in Ticino, Switzerland, Accepted.
    PUB | DOI | Download (ext.) | Preprint
     
  • [61]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs”. Presented at the International Conference on Computer Vision (ICCV) 2023, Paris France, Paris France : Published, 2023.
    PUB | DOI
     
  • [60]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim”. International Journal of Neural Systems 33.09 (2023): 2350044.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [59]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Evaluating Spiking Neural Network Models: A Comparative Performance Analysis”., Bielefeld : Datatninja Spring School 2023, 2023.
    PUB | DOI
     
  • [58]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures”., University of Texas at San Antonio: Neuro-Inspired Computing Elements (NICE 2023), 2023.
    PUB | DOI
     
  • [57]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection”. Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings. Ed. Lazaros Iliadis, Ilias Maglogiannis, Serafin Alonso, Chrisina Jayne, and Elias Pimenidis. Cham: Springer Nature Switzerland, 2023. Communications in Computer and Information Science. 191-202.
    PUB | DOI
     
  • [56]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    Ullah, Sana, Koravuna, Shamini, Jungeblut, Thorsten, and Rückert, Ulrich. “Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation”., Bielefeld : Datatninja Spring School 2022, 2022.
    PUB | DOI
     
  • [55]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    Ullah, Sana, Koravuna, Shamini, Rückert, Ulrich, and Jungeblut, Thorsten. “SNNs Model Analyzing and Visualizing Experimentation Using RAVSim”. Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings. Ed. Lazaros Iliadis, Chrisina Jayne, Anastasios Tefas, and Elias Pimenidis. Cham: Springer International Publishing, 2022. Communications in Computer and Information Science. 40-51.
    PUB | DOI | Download (ext.)
     
  • [54]
    2022 | Preprint | PUB-ID: 2982804
    Ullah, Sana, Koravuna, Shamini, Jungeblut, Thorsten, and Rückert, Ulrich. “NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs”. (2022).
    PUB | DOI
     
  • [53]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    Ax, Johannes, Kucza, Nils, Porrmann, Mario, Rückert, Ulrich, and Jungeblut, Thorsten. “Asynchronous network-on-chips (NoCs) for resource efficient many core architectures”. Asynchronous Circuit Applications. Ed. Jia Di and Scott C. Smith. Institution of Engineering and Technology (IET), 2019. 173-197.
    PUB | DOI | Download (ext.)
     
  • [52]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    Adams, Michael, Benda, Mihaly, Saboor, Abdul, Krause, André Frank, Rezeika, Aya, Gembler, Felix, Stawicki, Piotr, Hesse, Marc, Essig, Kai, Ben-Salem, Sadok, Islam, Zahidul, Vogelsang, Arne, Jungeblut, Thorsten, Rückert, Ulrich, and Volosyak, Ivan. “Towards an SSVEP-BCI Controlled Smart Home”. 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC). Piscataway, NJ: IEEE, 2019.
    PUB | DOI
     
  • [51]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, Adrian, Unsal, Osman S., Martorell, Xavier, Carpenter, Paul, De La Cruz, Raul, Bautista, Leonardo, Jimenez, Daniel, Alvarez, Carlos, Salami, Behzad, Madonar, Sergi, Pericàs, Miquel, Trancoso, Pedro, vor dem Berge, Micha, Billung-Meyer, Gunnar, Krupop, Stefan, Christmann, Wolfgang, Klawonn, Frank, Mihklafi, Amani, Becker, Tobias, Gaydadjiev, Georgi, Salomonsson, Hans, Dubhashi, Devdatt, Port, Oron, Hadar, Elad, Etsion, Yoav, Fetzer, Christof, Hagemeyer, Jens, Jungeblut, Thorsten, Kucza, Nils, Kaiser, Martin, Porrmann, Mario, Pasin, Marcelo, Schiavoni, Valerio, Rocha, Isabelly, Göttel, Christian, Felber, Pascal, Mudge, Trevor, and Pnevmatikatos, Dionisios N. “LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing”. SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. New York, NY: ACM, 2018. 210-217.
    PUB | DOI
     
  • [50]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, Adrian, Unsal, Osman S., Martorell, Xavier, Carpenter, Paul, De La Cruz, Raul, Bautista, Leonardo, Jimenez, Daniel, Alvarez, Carlos, Salami, Behzad, Madonar, Sergi, Pericàs, Miquel, Trancoso, Pedro, vor dem Berge, Micha, Billung-Meyer, Gunnar, Krupop, Stefan, Christmann, Wolfgang, Klawonn, Frank, Mihklafi, Amani, Becker, Tobias, Gaydadjiev, Georgi, Salomonsson, Hans, Dubhashi, Devdatt, Port, Oron, Hadar, Elad, Etsion, Yoav, Fetzer, Christof, Hagemeyer, Jens, Jungeblut, Thorsten, Kucza, Nils, Kaiser, Martin, Porrmann, Mario, Pasin, Marcelo, Schiavoni, Valerio, Rocha, Isabelly, Göttel, Christian, Felber, Pascal, Mudge, Trevor, and Pnevmatikatos, Dionisios N. “LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing”. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. Ed. Trevor Mudge. New York, NY, USA: ACM, 2018. 210-217.
    PUB | DOI
     
  • [49]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, Adrian, Unsal, Osman S., Martorell, Xavier, Carpenter, Paul, De La Cruz, Raul, Bautista, Leonardo, Jimenez, Daniel, Alvarez, Carlos, Salami, Behzad, Madonar, Sergi, Pericàs, Miquel, Trancoso, Pedro, vor dem Berge, Micha, Billung-Meyer, Gunnar, Krupop, Stefan, Christmann, Wolfgang, Klawonn, Frank, Mihklafi, Amani, Becker, Tobias, Gaydadjiev, Georgi, Salomonsson, Hans, Dubhashi, Devdatt, Port, Oron, Etsion, Yoav, Nowack, Vesna, Fetzer, Christof, Hagemeyer, Jens, Jungeblut, Thorsten, Kucza, Nils, Kaiser, Martin, Porrmann, Mario, Pasin, Marcelo, Schiavoni, Valerio, Rocha, Isabelly, Göttel, Christian, Felber, Pascal, Kaeli, David, and Pericàs, Miquel. “LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing.”. Proceedings of the 15th ACM International Conference on Computing Frontiers. Ed. David Kaeli. New York, NY: ACM, 2018. 276-278.
    PUB | DOI | Download (ext.)
     
  • [48]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    Gayen, Neela, Ax, Johannes, Flasskamp, Martin, Klarhorst, Christian, Jungeblut, Thorsten, Tang, Maolin, and Kelly, Wayne. “Scalable Mapping of Streaming Applications onto MPSoCs Using Optimistic Mixed Integer Linear Programming”. 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018). Piscataway, NJ: IEEE, 2018. 348-352.
    PUB | DOI
     
  • [47]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2932463
    Hesse, Marc, Krause, André Frank, Vogel, Ludwig, Schack, Thomas, and Jungeblut, Thorsten. “KogniCoach - Entwicklung und Evaluierung eines in das Wohnumfeld integrierten persönlichen Trainers mit vernetztem Sessel”. Technische Unterstützungssysteme, die die Menschen wirklich wollen. Ed. Robert Weidner, Athanasios Karafllidis, and Leopold-Franzens-Universität Innsbruck, Institut für Mechatronik. Hamburg: Helmut-Schmidt Universität, 2018. 235-243.
    PUB | Dateien verfügbar
     
  • [46]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, Johannes, Sievers, Gregor, Daberkow, Julian, Flasskamp, Martin, Vohrmann, Marten, Jungeblut, Thorsten, Kelly, Wayne, Porrmann, Mario, and Rückert, Ulrich. “CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories”. IEEE Transactions on Parallel and Distributed Systems 29.5 (2018): 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [45]
    2018 | Report | Veröffentlicht | PUB-ID: 2934956
    Korthals, Timo, Krause, Thilo, and Jungeblut, Thorsten. Elektronische Umfelderkennung bei Erntemaschinen. Verbundprojekt itsOWL-EUE innerhalb des Spitzenclusters it's OWL : Abschlussbericht des itsOWL-EUE Konsortiums. 2018.
    PUB | DOI
     
  • [44]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, Christian, Flasskamp, Martin, Ax, Johannes, Jungeblut, Thorsten, Kelly, Wayne, Porrmann, Mario, and Rückert, Ulrich. “Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems”. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom, 2018.
    PUB
     
  • [43]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2911527
    Wittenfeld, Felix, Hesse, Marc, and Jungeblut, Thorsten. “Optische Vermessung bewegter Rotationskörper in industriellen Fertigungsanlagen”. Wissenschaftsforum Intelligente Technische Systeme (WInTeSys) 2017. Ed. Eric Bodden, Falko Dressler, Roman Dumitrescu, Jürgen Gausemeier, Friedhelm Meyer auf der Heide, Christoph Scheytt, and Ansgar Trächtler. Paderborn: Heinz Nixdorf Institut, Universität Paderborn, 2017.Vol. 369. Verlagsschriftenreihe des Heinz Nixdorf Instituts. 241-253.
    PUB
     
  • [42]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2914984
    Hesse, Marc, Born, Torsten, and Jungeblut, Thorsten. “Modellgestützter Entwurf eines Multisensormoduls für die Gebäudeautomation”. Tag des Systems Engineering: Paderborn, 8. -10. November 2017. Ed. Sven-Olaf Schulze, Christian Tschirner, Rüdiger Kaffenberger, and Sascha Ackva. München: Carl Hanser Verlag GmbH Co KG, 2017. 35-44.
    PUB | Dateien verfügbar
     
  • [41]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, Gregor, Hübener, Boris, Ax, Johannes, Flasskamp, Martin, Kelly, Wayne, Jungeblut, Thorsten, and Porrmann, Mario. “The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio”. Computing Platforms for Software-Defined Radio. Ed. Waqar Hussain, Jari Nurmi, Jouni Isoaho, and Fabio Garzia. Cham, Switzerland: Springer International Publishing, 2017. 29--59.
    PUB | DOI
     
  • [40]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909571 OA
    Hesse, Marc, Krause, André Frank, Vogel, Ludwig, Chamadiya, Bhavin, Schilling, Michael, Schack, Thomas, and Jungeblut, Thorsten. “A Connected Chair as Part of a Smart Home Environment”. Proceedings of IEEE 14th International Conference on Wearable and Implantable Body Sensor Networks (2017): 47-50.
    PUB | PDF | DOI
     
  • [39]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, Johannes, Kucza, Nils, Vohrmann, Marten, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC”. IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17). Accepted.
    PUB
     
  • [38]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, Martin, Sievers, Gregor, Ax, Johannes, Klarhorst, Christian, Jungeblut, Thorsten, Kelly, Wayne, Thies, Michael, and Porrmann, Mario. “Performance Estimation of Streaming Applications for Hierarchical MPSoCs”. Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO). New York, NY: ACM Press, 2016. 1.
    PUB | PDF | DOI
     
  • [37]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906479
    Kragh, Mikkel, Christiansen, Peter, Korthals, Timo, Jungeblut, Thorsten, Karstoft, Henrik, and Jørgensen, Rasmus N. “Multi-Modal Obstacle Detection and Evaluation of Occupancy Grid Mapping in Agriculture”. International Conference on Agricultural Engineering. Aarhus: International Commission of Agricultural and Biosystems Engineering, 2016.
    PUB | Download (ext.)
     
  • [36]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902860 OA
    Korthals, Timo, Skiba, Andreas, Krause, Thilo, and Jungeblut, Thorsten. “Evidenzkarten-basierte Sensorfusion zur Umfelderkennung und Interpretation in der Ernte”. Informatik in der Land-, Forst- und Ernährungswirtschaft - Intelligente Systeme - Stand der Technik und neue Möglichkeiten. Ed. Arno Ruckelshausen, Andreas Meyer-Aurich, Thomas Rath, Guido Recke, and Brigitte Theuvsen. 2016. 97-100.
    PUB | PDF
     
  • [35]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, Johannes, Sievers, Gregor, Flasskamp, Martin, Kelly, Wayne, Jungeblut, Thorsten, and Porrmann, Mario. “System-Level Analysis of Network Interfaces for Hierarchical MPSoCs”. Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc). New York, NY, USA: ACM, 2015. 3-8.
    PUB | PDF | DOI
     
  • [34]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, Johannes, Flasskamp, Martin, Sievers, Gregor, Klarhorst, Christian, Jungeblut, Thorsten, and Kelly, Wayne. An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0). 2015.
    PUB | PDF
     
  • [33]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, Gregor, Ax, Johannes, Kucza, Nils, Flasskamp, Martin, Jungeblut, Thorsten, Kelly, Wayne, Porrmann, Mario, and Rückert, Ulrich. “Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI”. 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE, 2015. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [32]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, Gregor, Daberkow, Julian, Ax, Johannes, Flasskamp, Martin, Kelly, Wayne, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI”. International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE, 2015. 175-181.
    PUB | DOI
     
  • [31]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2759093
    Damerow, U., Borzykh, M., Tabakajew, D., Schaermann, W., Hesse, Marc, Homberg, W., Trächtler, A., Jungeblut, Thorsten, and Michels, J. S. “Intelligente Biegeverfahren”. wt Werkstattstechnik online 2015.6 (2015): 427-432.
    PUB | Dateien verfügbar | Download (ext.)
     
  • [30]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    Keuck, L., Hemmelgarn, F., Frohleke, N., Bocker, J., Vohrmann, Marten, Jungeblut, Thorsten, and Rückert, Ulrich. “Neuro control of a PFC rectifier for harmonic reduction at partial loads”. 2014 International Conference on Advances in Green Energy (ICAGE). Institute of Electrical and Electronics Engineers (IEEE), 2015.
    PUB | DOI
     
  • [29]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, Johannes, Buda, Aurel, Schneider, Daniel, Hartfiel, John, Dürkop, Lars, Jungeblut, Thorsten, Jasperneite, Jürgen, Vedral, Andreas, and Rückert, Ulrich. “Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme”. Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus, 2015.
    PUB
     
  • [28]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, Aurel, Walter, Martin, Hartfiel, John, Ax, Johannes, Nussbaum, Konstantin, Jungeblut, Thorsten, and Porrmann, Mario. “Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien”. Presented at the Automation 2015, Baden-Baden, 2015.
    PUB
     
  • [27]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2752706
    Ragg, Christoph, Jungeblut, Thorsten, and Jurke, Benjamin. “Intelligente Werkzeugmaschinen”. wt Werkstattstechnik online 105.5 (2015): 252-256.
    PUB | Download (ext.)
     
  • [26]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    Herbrechtsmeier, Stefan, Jungeblut, Thorsten, and Porrmann, Mario. “Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der Leiterplattenentwicklung”. Entwurf mechatronischer Systeme. Paderborn: HNI Verlagsschriftenreihe, 2015.Vol. 343.
    PUB
     
  • [25]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, Marten, Chatterjee, Saikat, Lütkemeier, Sven, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “A 65 nm Standard Cell Library for Ultra Low-power Applications”. Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway, IEEE, 2015.
    PUB | DOI
     
  • [24]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2700385
    Schaermann, W., Borzykh, M., Trächtler, A., Tabakajew, D., Damerow, U., Homberg, W., Hesse, Marc, and Jungeblut, Thorsten. “Selbstkorrigierende Biegeprozesse in der Umformtechnik”. Automation 2014 Smart X - powered by automation. Teil 2. Ed. Peter Adolphs. Düsseldorf: VDI-Verlag, 2014.Vol. 2231. Verein Deutscher Ingenieure: VDI-Berichte. 1103-1116.
    PUB | Dateien verfügbar
     
  • [23]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, Martin, Ax, Johannes, Buda, Aurel, Nussbaum, K., Hartfiel, John, Jungeblut, Thorsten, and Porrmann, Mario. “Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen”. Presented at the Kommunikation in der Automation – KommA 2014, Lemgo, Germany, 2014.
    PUB | Download (ext.)
     
  • [22]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, Boris, Sievers, Gregor, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “CoreVA: A Configurable Resource-efficient VLIW Processor Architecture”. Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE, 2014. 9-16.
    PUB | DOI
     
  • [21]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, Wayne, Flasskamp, Martin, Sievers, Gregor, Ax, Johannes, Chen, Jianing, Klarhorst, Christian, Ragg, Christoph, Jungeblut, Thorsten, and Sorensen, Andrew. “A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC”. International Symposium on System-on-Chip (SoC). Tampere, Finland: IEEE, 2014.
    PUB | DOI | Download (ext.)
     
  • [20]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, Sven, Jungeblut, Thorsten, Berge, Hans Kristian Otnes, Aunet, Snorre, Porrmann, Mario, and Rückert, Ulrich. “A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control”. IEEE Journal Of Solid-State Circuits 48.1 (2013): 8-19.
    PUB | DOI | WoS
     
  • [19]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, Sebastian, Sievers, Gregor, Ax, Johannes, Cozzi, Dario, Jungeblut, Thorsten, Hagemeyer, Jens, Porrmann, Mario, and Rückert, Ulrich. “Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme”. Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Ed. Jürgen Gausemeier, Roman Dumitrescu, Franz Rammig, and Ansgar Trächtler. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn, 2013.Vol. 310. HNI-Verlagsschriftenreihe. 79-90.
    PUB | PDF
     
  • [18]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, Gregor, Christ, Peter, Einhaus, Julian, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications”. 2013 NORCHIP. 2013.
    PUB | DOI
     
  • [17]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, Peter, Sievers, Gregor, Einhaus, Julian, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “Pareto-optimal Signal Processing on Low-Power Microprocessors”. Proceedings of the 12th IEEE International Conference on SENSORS. IEEE, 2013. 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [16]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, Thorsten, Hübener, Boris, Porrmann, Mario, and Rückert, Ulrich. “A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors”. ACM Trans. Embed. Comput. Syst. 13.2 (2013): 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [15]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, Sven, Jungeblut, Thorsten, Porrmann, Mario, and Rückert, Ulrich. “A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control”. Proc. of the International Solid-State Circuits Conference (ISSCC). Ed. Institute of Electrical and Electronics Engineers. Piscataway, NJ: IEEE, 2012. 484-485.
    PUB | DOI
     
  • [14]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, Thorsten, Ax, Johannes, Porrmann, Mario, and Rückert, Ulrich. “A TCMS-based architecture for GALS NoCs.”. 2012 IEEE International Symposium on Circuits and Systems. Ed. IEEE Circuits and Systems Society and Institute of Electrical and Electronics Engineers. Piscataway, NJ: IEEE, 2012.
    PUB | DOI
     
  • [13]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, Thorsten, Ax, Johannes, Sievers, Gregor, Hübener, Boris, Porrmann, Mario, and Rückert, Ulrich. “Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited)”. Proc. of the Radar, Communication and Measurement Conference (RADCOM). 2011.
    PUB | Dateien verfügbar
     
  • [12]
    2011 | Bielefelder E-Dissertation | PUB-ID: 2407551 OA
    Jungeblut, Thorsten. Entwurfsraumexploration ressourceneffizienter VLIW-Prozessoren. Bielefeld: Universität Bielefeld, 2011.
    PUB | PDF
     
  • [11]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, Thorsten, Liß, Christian, Porrmann, Mario, and Rückert, Ulrich. “Design-space Exploration for Flexible WLAN Hardware”. Cross Layer Designs in WLAN Systems. Ed. N. Zorba, C. Skianis, and C. Verikoukis. Leicester, UK: Troubador Publishing, 2011. 521-564.
    PUB
     
  • [10]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, Thorsten, Sievers, Gregor, Porrmann, Mario, and Rückert, Ulrich. “Design Space Exploration for Memory Subsystems of VLIW Architectures”. 5th IEEE International Conference on Networking, Architecture, and Storage. 2010. 377-385.
    PUB | DOI
     
  • [9]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, Thorsten, Dreesen, R., Porrmann, Mario, Thies, M., Rückert, Ulrich, and Kastens, U. “A Framework for the Design Space Exploration of Software-Defined Radio Applications”., 2nd International ICST Conference on Mobile Lightweight Wireless Systems, 2010.
    PUB | Download (ext.)
     
  • [8]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, Thorsten, Lütkemeier, Sven, Sievers, Gregor, Porrmann, Mario, and Rückert, Ulrich. “A modular design flow for very large design space explorations”., CDNLive! EMEA 2010, 2010.
    PUB | Dateien verfügbar
     
  • [7]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, Thorsten, Puttmann, Christoph, Dreesen, Ralf, Porrmann, Mario, Thies, Michael, Rückert, Ulrich, and Kastens, Uwe. “Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography”. Advances in Radio Science 8 (2010): 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [6]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2019027
    Dreesen, Ralf, Jungeblut, Thorsten, Thies, Michael, and Kastens, Uwe. “Dependence Analysis of VLIW Code for Non-Interlocked Pipelines”. Proceedings of the 8th Workshop on Optimizations for DSP and Embedded Systems. 2010.
    PUB | Download (ext.)
     
  • [5]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, Ralf, Jungeblut, Thorsten, Thies, Michael, Porrmann, Mario, Rückert, Ulrich, and Kastens, Uwe. “A Synchronization Method for Register Traces of Pipelined Processors”. Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany, 2009. 207-217.
    PUB | Download (ext.)
     
  • [4]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, Thorsten, Klassen, Dennis, Dreesen, Ralf, Porrmann, Mario, Thies, Michael, Rückert, Ulrich, and Kastens, Uwe. “Design Space Exploration for Next Generation Wireless Technologies (invited talk).”. Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009. 2009.
    PUB
     
  • [3]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, Thorsten, Grünewald, Matthias, Porrmann, Mario, and Rückert, Ulrich. “Realtime multiprocessor for mobile ad hoc networks”. Advances in Radio Science 6 (2008): 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [2]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, Thorsten, Dreesen, Ralf, Porrmann, Mario, Rückert, Ulrich, and Hachmann, Ulrich. “Design Space Exploration for Resource Efficient VLIW-Processors”. University Booth of the Design, Automation and Test in Europe (DATE) conference. 2008.
    PUB
     
  • [1]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, Thorsten, Grünewald, Matthias, Porrmann, Mario, and Rückert, Ulrich. “Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks”. Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007. 2007.
    PUB | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung