66 Publikationen

Alle markieren

  • [66]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023). Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications. Frontiers in Computational Neuroscience 17.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [65]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2985715
    Koravuna, S., Ullah, S., Jungeblut, T., and Rückert, U. (2023). “Digit Recognition Using Spiking Neural Networks on FPGA” in Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I, Rojas, I., Joya, G., and Catala, A. eds. Lecture Notes in Computer Science (Cham: Springer Nature Switzerland), 406-417.
    PUB | DOI
     
  • [64]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985713
    Ullah, S., and Jungeblut, T. (2023). “Analysis of MR Images for Early and Accurate Detection of Brain Tumor using Resource Efficient Simulator Brain Analysis” in 19th International Conference on Machine Learning and Data Mining MLDM (New York USA).
    PUB | DOI | Download (ext.)
     
  • [63]
    2023 | Kurzbeitrag Konferenz / Poster | Veröffentlicht | PUB-ID: 2985712
    Ullah, S., Amanullah, A., Roy, K., Lee, J. - A., Chul-Jun, S., and Jungeblut, T. (2023). “A Hybrid Spiking-Convolutional Neural Network Approach for Advancing High-Quality Image Inpainting” in International Conference on Computer Vision (ICCV) 2023 (Paris France ).
    PUB | DOI | Download (ext.)
     
  • [62]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (Accepted).“A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs”. Presented at the Novel Trends in Data Science 2023, Congressi Stefano Franscini at Monte Verità in Ticino, Switzerland.
    PUB | DOI | Download (ext.) | Preprint
     
  • [61]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023).“Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs”. Presented at the International Conference on Computer Vision (ICCV) 2023, Paris France.
    PUB | DOI
     
  • [60]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023). Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim. International Journal of Neural Systems 33:2350044.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [59]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023).“Evaluating Spiking Neural Network Models: A Comparative Performance Analysis”.
    PUB | DOI
     
  • [58]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023).“Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures”.
    PUB | DOI
     
  • [57]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2023). “Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection” in Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings, Iliadis, L., Maglogiannis, I., Alonso, S., Jayne, C., and Pimenidis, E. eds. Communications in Computer and Information Science (Cham: Springer Nature Switzerland), 191-202.
    PUB | DOI
     
  • [56]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    Ullah, S., Koravuna, S., Jungeblut, T., and Rückert, U. (2022).“Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation”.
    PUB | DOI
     
  • [55]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    Ullah, S., Koravuna, S., Rückert, U., and Jungeblut, T. (2022). “SNNs Model Analyzing and Visualizing Experimentation Using RAVSim” in Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings, Iliadis, L., Jayne, C., Tefas, A., and Pimenidis, E. eds. Communications in Computer and Information Science (Cham: Springer International Publishing), 40-51.
    PUB | DOI | Download (ext.)
     
  • [54]
    2022 | Preprint | PUB-ID: 2982804
    Ullah, S., Koravuna, S., Jungeblut, T., and Rückert, U. (2022). NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs.
    PUB | DOI
     
  • [53]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    Ax, J., Kucza, N., Porrmann, M., Rückert, U., and Jungeblut, T. (2019). “Asynchronous network-on-chips (NoCs) for resource efficient many core architectures” in Asynchronous Circuit Applications, Di, J., and Smith, S. C. eds. (Institution of Engineering and Technology (IET), 173-197.
    PUB | DOI | Download (ext.)
     
  • [52]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    Adams, M., Benda, M., Saboor, A., Krause, A. F., Rezeika, A., Gembler, F., Stawicki, P., Hesse, M., Essig, K., Ben-Salem, S., et al. (2019). “Towards an SSVEP-BCI Controlled Smart Home” in 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC) (Piscataway, NJ: IEEE).
    PUB | DOI
     
  • [51]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982045
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., Alvarez, C., Salami, B., Madonar, S., et al. (2018). “LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing” in SAMOS '18. Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (New York, NY: ACM), 210-217.
    PUB | DOI
     
  • [50]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979448
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., Alvarez, C., Salami, B., Madonar, S., et al. (2018). “LEGaTO. First steps towards energy-efficient toolset for heterogeneous computing” in Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Mudge, T. ed. (New York, NY, USA: ACM), 210-217.
    PUB | DOI
     
  • [49]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2955564
    Cristal, A., Unsal, O. S., Martorell, X., Carpenter, P., De La Cruz, R., Bautista, L., Jimenez, D., Alvarez, C., Salami, B., Madonar, S., et al. (2018). “LEGaTO Project: Towards Energy-Efficient, Secure, Fault-tolerant Toolset for Heterogeneous Computing.” in Proceedings of the 15th ACM International Conference on Computing Frontiers, Kaeli, D. ed. (New York, NY: ACM), 276-278.
    PUB | DOI | Download (ext.)
     
  • [48]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979449
    Gayen, N., Ax, J., Flasskamp, M., Klarhorst, C., Jungeblut, T., Tang, M., and Kelly, W. (2018). “Scalable Mapping of Streaming Applications onto MPSoCs Using Optimistic Mixed Integer Linear Programming” in 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2018) (Piscataway, NJ: IEEE), 348-352.
    PUB | DOI
     
  • [47]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2932463
    Hesse, M., Krause, A. F., Vogel, L., Schack, T., and Jungeblut, T. (2018). “KogniCoach - Entwicklung und Evaluierung eines in das Wohnumfeld integrierten persönlichen Trainers mit vernetztem Sessel” in Technische Unterstützungssysteme, die die Menschen wirklich wollen, Weidner, R., Karafllidis, A., and Leopold-Franzens-Universität Innsbruck, Institut für Mechatronik eds. (Hamburg: Helmut-Schmidt Universität), 235-243.
    PUB | Dateien verfügbar
     
  • [46]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J., Sievers, G., Daberkow, J., Flasskamp, M., Vohrmann, M., Jungeblut, T., Kelly, W., Porrmann, M., and Rückert, U. (2018). CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories. IEEE Transactions on Parallel and Distributed Systems 29, 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [45]
    2018 | Report | Veröffentlicht | PUB-ID: 2934956
    Korthals, T., Krause, T., and Jungeblut, T. (2018). Elektronische Umfelderkennung bei Erntemaschinen. Verbundprojekt itsOWL-EUE innerhalb des Spitzenclusters it's OWL : Abschlussbericht des itsOWL-EUE Konsortiums.
    PUB | DOI
     
  • [44]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C., Flasskamp, M., Ax, J., Jungeblut, T., Kelly, W., Porrmann, M., and Rückert, U. (2018).“Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems”. Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom.
    PUB
     
  • [43]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2911527
    Wittenfeld, F., Hesse, M., and Jungeblut, T. (2017). “Optische Vermessung bewegter Rotationskörper in industriellen Fertigungsanlagen” in Wissenschaftsforum Intelligente Technische Systeme (WInTeSys) 2017, Bodden, E., Dressler, F., Dumitrescu, R., Gausemeier, J., Meyer auf der Heide, F., Scheytt, C., and Trächtler, A. eds. Verlagsschriftenreihe des Heinz Nixdorf Instituts, vol. 369, (Paderborn: Heinz Nixdorf Institut, Universität Paderborn), 241-253.
    PUB
     
  • [42]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2914984
    Hesse, M., Born, T., and Jungeblut, T. (2017). “Modellgestützter Entwurf eines Multisensormoduls für die Gebäudeautomation” in Tag des Systems Engineering: Paderborn, 8. -10. November 2017, Schulze, S. - O., Tschirner, C., Kaffenberger, R., and Ackva, S. eds. (München: Carl Hanser Verlag GmbH Co KG), 35-44.
    PUB | Dateien verfügbar
     
  • [41]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908972
    Sievers, G., Hübener, B., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., and Porrmann, M. (2017). “The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio” in Computing Platforms for Software-Defined Radio, Hussain, W., Nurmi, J., Isoaho, J., and Garzia, F. eds. (Cham, Switzerland: Springer International Publishing), 29--59.
    PUB | DOI
     
  • [40]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909571 OA
    Hesse, M., Krause, A. F., Vogel, L., Chamadiya, B., Schilling, M., Schack, T., and Jungeblut, T. (2017). A Connected Chair as Part of a Smart Home Environment. Proceedings of IEEE 14th International Conference on Wearable and Implantable Body Sensor Networks, 47-50.
    PUB | PDF | DOI
     
  • [39]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J., Kucza, N., Vohrmann, M., Jungeblut, T., Porrmann, M., and Rückert, U. (Accepted). “Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC” in IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17).
    PUB
     
  • [38]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2900363 OA
    Flasskamp, M., Sievers, G., Ax, J., Klarhorst, C., Jungeblut, T., Kelly, W., Thies, M., and Porrmann, M. (2016). “Performance Estimation of Streaming Applications for Hierarchical MPSoCs” in Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO) (New York, NY: ACM Press), 1.
    PUB | PDF | DOI
     
  • [37]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906479
    Kragh, M., Christiansen, P., Korthals, T., Jungeblut, T., Karstoft, H., and Jørgensen, R. N. (2016). “Multi-Modal Obstacle Detection and Evaluation of Occupancy Grid Mapping in Agriculture” in International Conference on Agricultural Engineering (Aarhus: International Commission of Agricultural and Biosystems Engineering).
    PUB | Download (ext.)
     
  • [36]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2902860 OA
    Korthals, T., Skiba, A., Krause, T., and Jungeblut, T. (2016). “Evidenzkarten-basierte Sensorfusion zur Umfelderkennung und Interpretation in der Ernte” in Informatik in der Land-, Forst- und Ernährungswirtschaft - Intelligente Systeme - Stand der Technik und neue Möglichkeiten, Ruckelshausen, A., Meyer-Aurich, A., Rath, T., Recke, G., and Theuvsen, B. eds. 97-100.
    PUB | PDF
     
  • [35]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783142 OA
    Ax, J., Sievers, G., Flasskamp, M., Kelly, W., Jungeblut, T., and Porrmann, M. (2015). “System-Level Analysis of Network Interfaces for Hierarchical MPSoCs” in Proceedings of the 8th International Workshop on Network on Chip Architectures (NoCArc) (New York, NY, USA: ACM), 3-8.
    PUB | PDF | DOI
     
  • [34]
    2015 | Report | PUB-ID: 2783874 OA
    Ax, J., Flasskamp, M., Sievers, G., Klarhorst, C., Jungeblut, T., and Kelly, W. (2015). An Abstract Model for Performance Estimation of the Embedded Multiprocessor CoreVA-MPSoC Technical Report (v1.0).
    PUB | PDF
     
  • [33]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., and Rückert, U. (2015). “Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI” in 2015 IEEE International Symposium on Circuits & Systems (ISCAS) (IEEE), 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [32]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., and Rückert, U. (2015). “Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI” in International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC) (IEEE), 175-181.
    PUB | DOI
     
  • [31]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2759093
    Damerow, U., Borzykh, M., Tabakajew, D., Schaermann, W., Hesse, M., Homberg, W., Trächtler, A., Jungeblut, T., and Michels, J. S. (2015). Intelligente Biegeverfahren. wt Werkstattstechnik online 2015, 427-432.
    PUB | Dateien verfügbar | Download (ext.)
     
  • [30]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    Keuck, L., Hemmelgarn, F., Frohleke, N., Bocker, J., Vohrmann, M., Jungeblut, T., and Rückert, U. (2015). “Neuro control of a PFC rectifier for harmonic reduction at partial loads” in 2014 International Conference on Advances in Green Energy (ICAGE) (Institute of Electrical and Electronics Engineers (IEEE).
    PUB | DOI
     
  • [29]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, J., Buda, A., Schneider, D., Hartfiel, J., Dürkop, L., Jungeblut, T., Jasperneite, J., Vedral, A., and Rückert, U. (2015).“Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme”. Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus.
    PUB
     
  • [28]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732419
    Buda, A., Walter, M., Hartfiel, J., Ax, J., Nussbaum, K., Jungeblut, T., and Porrmann, M. (2015).“Automatische Protokollanpassung von Echtzeit-Ethernet-Standards durch FPGA-Technologien”. Presented at the Automation 2015, Baden-Baden.
    PUB
     
  • [27]
    2015 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2752706
    Ragg, C., Jungeblut, T., and Jurke, B. (2015). Intelligente Werkzeugmaschinen. wt Werkstattstechnik online 105, 252-256.
    PUB | Download (ext.)
     
  • [26]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732431
    Herbrechtsmeier, S., Jungeblut, T., and Porrmann, M. (2015). “Datenflussmodellierung als Methode zur Optimierung von Entwicklungsprozessen am Beispiel der Leiterplattenentwicklung” in Entwurf mechatronischer Systeme, vol. 343, (Paderborn: HNI Verlagsschriftenreihe).
    PUB
     
  • [25]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M., Chatterjee, S., Lütkemeier, S., Jungeblut, T., Porrmann, M., and Rückert, U. (2015).“A 65 nm Standard Cell Library for Ultra Low-power Applications”. Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway.
    PUB | DOI
     
  • [24]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2700385
    Schaermann, W., Borzykh, M., Trächtler, A., Tabakajew, D., Damerow, U., Homberg, W., Hesse, M., and Jungeblut, T. (2014). “Selbstkorrigierende Biegeprozesse in der Umformtechnik” in Automation 2014 Smart X - powered by automation. Teil 2, Adolphs, P. ed. Verein Deutscher Ingenieure: VDI-Berichte, vol. 2231, (Düsseldorf: VDI-Verlag), 1103-1116.
    PUB | Dateien verfügbar
     
  • [23]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698994
    Walter, M., Ax, J., Buda, A., Nussbaum, K., Hartfiel, J., Jungeblut, T., and Porrmann, M. (2014).“Dynamische Rekonfiguration von Echtzeit-Ethernet-Standards mit harten Echtzeit­anforderungen”. Presented at the Kommunikation in der Automation – KommA 2014, Lemgo, Germany.
    PUB | Download (ext.)
     
  • [22]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B., Sievers, G., Jungeblut, T., Porrmann, M., and Rückert, U. (2014). “CoreVA: A Configurable Resource-efficient VLIW Processor Architecture” in Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing (IEEE), 9-16.
    PUB | DOI
     
  • [21]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2753235
    Kelly, W., Flasskamp, M., Sievers, G., Ax, J., Chen, J., Klarhorst, C., Ragg, C., Jungeblut, T., and Sorensen, A. (2014). “A Communication Model and Partitioning Algorithm for Streaming Applications for an Embedded MPSoC” in International Symposium on System-on-Chip (SoC) (Tampere, Finland: IEEE).
    PUB | DOI | Download (ext.)
     
  • [20]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S., Jungeblut, T., Berge, H. K. O., Aunet, S., Porrmann, M., and Rückert, U. (2013). A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control. IEEE Journal Of Solid-State Circuits 48, 8-19.
    PUB | DOI | WoS
     
  • [19]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S., Sievers, G., Ax, J., Cozzi, D., Jungeblut, T., Hagemeyer, J., Porrmann, M., and Rückert, U. (2013). “Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme” in Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme, Gausemeier, J., Dumitrescu, R., Rammig, F., and Trächtler, A. eds. HNI-Verlagsschriftenreihe, vol. 310, (Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn), 79-90.
    PUB | PDF
     
  • [18]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G., Christ, P., Einhaus, J., Jungeblut, T., Porrmann, M., and Rückert, U. (2013). “Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications” in 2013 NORCHIP.
    PUB | DOI
     
  • [17]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P., Sievers, G., Einhaus, J., Jungeblut, T., Porrmann, M., and Rückert, U. (2013). “Pareto-optimal Signal Processing on Low-Power Microprocessors” in Proceedings of the 12th IEEE International Conference on SENSORS (IEEE), 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [16]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T., Hübener, B., Porrmann, M., and Rückert, U. (2013). A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors. ACM Trans. Embed. Comput. Syst. 13, 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [15]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S., Jungeblut, T., Porrmann, M., and Rückert, U. (2012). “A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control” in Proc. of the International Solid-State Circuits Conference (ISSCC), Institute of Electrical and Electronics Engineers ed. (Piscataway, NJ: IEEE), 484-485.
    PUB | DOI
     
  • [14]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T., Ax, J., Porrmann, M., and Rückert, U. (2012). “A TCMS-based architecture for GALS NoCs.” in 2012 IEEE International Symposium on Circuits and Systems, IEEE Circuits and Systems Society, and Institute of Electrical and Electronics Engineers eds. (Piscataway, NJ: IEEE).
    PUB | DOI
     
  • [13]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T., Ax, J., Sievers, G., Hübener, B., Porrmann, M., and Rückert, U. (2011). “Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited)” in Proc. of the Radar, Communication and Measurement Conference (RADCOM).
    PUB | Dateien verfügbar
     
  • [12]
    2011 | Bielefelder E-Dissertation | PUB-ID: 2407551 OA
    Jungeblut, T. (2011). Entwurfsraumexploration ressourceneffizienter VLIW-Prozessoren. Bielefeld: Universität Bielefeld.
    PUB | PDF
     
  • [11]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T., Liß, C., Porrmann, M., and Rückert, U. (2011). “Design-space Exploration for Flexible WLAN Hardware” in Cross Layer Designs in WLAN Systems, Zorba, N., Skianis, C., and Verikoukis, C. eds. (Leicester, UK: Troubador Publishing), 521-564.
    PUB
     
  • [10]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T., Sievers, G., Porrmann, M., and Rückert, U. (2010). “Design Space Exploration for Memory Subsystems of VLIW Architectures” in 5th IEEE International Conference on Networking, Architecture, and Storage 377-385.
    PUB | DOI
     
  • [9]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., and Kastens, U. (2010).“A Framework for the Design Space Exploration of Software-Defined Radio Applications”.
    PUB | Download (ext.)
     
  • [8]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T., Lütkemeier, S., Sievers, G., Porrmann, M., and Rückert, U. (2010).“A modular design flow for very large design space explorations”.
    PUB | Dateien verfügbar
     
  • [7]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T., Puttmann, C., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., and Kastens, U. (2010). Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography. Advances in Radio Science 8, 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [6]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2019027
    Dreesen, R., Jungeblut, T., Thies, M., and Kastens, U. (2010). “Dependence Analysis of VLIW Code for Non-Interlocked Pipelines” in Proceedings of the 8th Workshop on Optimizations for DSP and Embedded Systems.
    PUB | Download (ext.)
     
  • [5]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R., Jungeblut, T., Thies, M., Porrmann, M., Rückert, U., and Kastens, U. (2009). “A Synchronization Method for Register Traces of Pipelined Processors” in Proceedings of the International Embedded Systems Symposium 2009 (IESS '09) (Schloss Langenargen, Germany), 207-217.
    PUB | Download (ext.)
     
  • [4]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T., Klassen, D., Dreesen, R., Porrmann, M., Thies, M., Rückert, U., and Kastens, U. (2009). “Design Space Exploration for Next Generation Wireless Technologies (invited talk).” in Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009.
    PUB
     
  • [3]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T., Grünewald, M., Porrmann, M., and Rückert, U. (2008). Realtime multiprocessor for mobile ad hoc networks. Advances in Radio Science 6, 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [2]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T., Dreesen, R., Porrmann, M., Rückert, U., and Hachmann, U. (2008). “Design Space Exploration for Resource Efficient VLIW-Processors” in University Booth of the Design, Automation and Test in Europe (DATE) conference.
    PUB
     
  • [1]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T., Grünewald, M., Porrmann, M., and Rückert, U. (2007). “Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks” in Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007.
    PUB | Download (ext.)
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung