419 Publikationen

Alle markieren

  • [419]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2969731 OA
    Schöpping, T.; Kenneweg, S.; Hesse, M.; Rückert, U. (2023): µRT: A lightweight real-time middleware with integrated validation of timing constraints Frontiers in Robotics and AI,10: 23.
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [418]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications Frontiers in Computational Neuroscience,17
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [417]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (Accepted): A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs.
    PUB | DOI | Download (ext.) | Preprint
     
  • [416]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2984934
    Penner, K.; Wittenfeld, F.; Steinhagen, B.; Hesse, M.; Rückert, U. (2023): TinyML optimization for activity classification on the resource-constrained body sensor BI-Vital. In: 2023 IEEE 19th International Conference on Body Sensor Networks (BSN). IEEE. S. 1-4.
    PUB | DOI | Download (ext.)
     
  • [415]
    2023 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2969032 OA
    Lian Sang, C.; Adams, M.; Hesse, M.; Rückert, U. (2023): Bidirectional UWB Localization: A Review on an Elastic Positioning Scheme for GNSS-deprived Zones IEEE Journal of Indoor and Seamless Positioning and Navigation, 26.
    PUB | PDF | DOI | Download (ext.) | arXiv
     
  • [414]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982608
    Steinhagen, B.; Jungh, T.; Hesse, M.; Rückert, U.; Quakernack, L.; Kelker, M.; Haubrock, J. (2023): Evaluation of the Usage of Edge Computing and LoRa for the Control of Electric Vehicle Charging in the Low Voltage Grid. In: 2023 IEEE PES Conference on Innovative Smart Grid Technologies - Middle East (ISGT Middle East). proceedings. Piscataway, NJ: IEEE. S. 1-5.
    PUB | DOI
     
  • [413]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2983888
    Adams, M.; David, A.; Hesse, M.; Rückert, U.; Lienhart, R.; Moeslund, T. B.; Saito, H. (2023): Expected Goals Prediction in Professional Handball using Synchronized Event and Positional Data. In: Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports. New York, NY, USA: ACM. S. 83-91.
    PUB | DOI
     
  • [412]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs. Paris France : Published.
    PUB | DOI
     
  • [411]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2983268
    Kortekamp, M.; Pilz, S.; Hagemeyer, J.; Rückert, U. (2023): A Scalable Binary Neural Associative Memory on FPGA. In: Ignacio Rojas; Gonzalo Joya; Andreu Catala (Hrsg.): Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I. Cham: Springer Nature Switzerland. (Lecture Notes in Computer Science, ). S. 381-393.
    PUB | DOI
     
  • [410]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim International Journal of Neural Systems,33:(09):2350044
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [409]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Evaluating Spiking Neural Network Models: A Comparative Performance Analysis. Bielefeld : Datatninja Spring School 2023.
    PUB | DOI
     
  • [408]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures. University of Texas at San Antonio: Neuro-Inspired Computing Elements (NICE 2023).
    PUB | DOI
     
  • [407]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2023): Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection. In: Lazaros Iliadis; Ilias Maglogiannis; Serafin Alonso; Chrisina Jayne; Elias Pimenidis (Hrsg.): Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings. Cham: Springer Nature Switzerland. (Communications in Computer and Information Science, ). S. 191-202.
    PUB | DOI
     
  • [406]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979269
    Pilz, S.; Hellweg, T.; Harteis, C.; Rückert, U.; Schneider, M. (2023): Who Will Own Our Global Digital Twin: The Power of Genetic and Biographic Information to Shape Our Lives. In: Iris Gräßler; Günter W. Maier; Eckhard Steffen; Daniel Roesmann (Hrsg.): The Digital Twin of Humans. An Interdisciplinary Concept of Digital Working Environments in Industry 4.0. Cham: Springer International Publishing. S. 11-35.
    PUB | DOI
     
  • [405]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    Ullah, S.; Koravuna, S.; Jungeblut, T.; Rückert, U. (2022): Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation. Bielefeld : Datatninja Spring School 2022.
    PUB | DOI
     
  • [404]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    Ullah, S.; Koravuna, S.; Rückert, U.; Jungeblut, T. (2022): SNNs Model Analyzing and Visualizing Experimentation Using RAVSim. In: Lazaros Iliadis; Chrisina Jayne; Anastasios Tefas; Elias Pimenidis (Hrsg.): Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings. Cham: Springer International Publishing. (Communications in Computer and Information Science, ). S. 40-51.
    PUB | DOI | Download (ext.)
     
  • [403]
    2022 | Preprint | PUB-ID: 2982804
    Ullah, S.; Koravuna, S.; Jungeblut, T.; Rückert, U. (2022): NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs
    PUB | DOI
     
  • [402]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2966552
    Klarhorst, C.; Quirin, D.; Hesse, M.; Rückert, U. (2022): ML4ProFlow: A Framework for Low-Code Data Processing from Edge to Cloud in Industrial Production. In: IEEE (Hrsg.): 2022 IEEE 27th International Conference on Emerging Technologies and Factory Automation (ETFA). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [401]
    2022 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2963591 OA
    Ostrau, C.; Klarhorst, C.; Thies, M.; Rückert, U. (2022): Benchmarking Neuromorphic Hardware and Its Energy Expenditure Frontiers in Neuroscience,16:873935
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [400]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2964196
    Kaiser, M.; Griessl, R.; Kucza, N.; Haumann, C.; Tigges, L.; Mika, K.; Hagemeyer, J.; Porrmann, F.; Rückert, U.; vor dem Berge, M.; Krupop, S.; Porrmann, M.; Tassemeier, M.; Trancoso, P.; Qararyah, F.; Zouzoula, S.; Casimiro, A.; Bessani, A.; Cecilio, J.; Andersson, S.; Brunnegard, O.; Eriksson, O.; Weiss, R.; Mcierhofer, F.; Salomonsson, H.; Malekzadeh, E.; Odman, D.; Khurshid, A.; Felber, P.; Pasin, M.; Schiavoni, V.; Menetrey, J.; Gugala, K.; Zierhoffer, P.; Knauss, E.; Heyn, H. (2022): VEDLIoT: Very Efficient Deep Learning in IoT. In: Institut of Electrical and Electronics Engineers (IEEE) (Hrsg.): DATE '22: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe. Leuven: European Design and Automation Association. S. 963-968.
    PUB | DOI
     
  • [399]
    2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2957481 OA
    Porrmann, F.; Pilz, S.; Stella, A.; Kleinjohann, A.; Denker, M.; Hagemeyer, J.; Rückert, U. (2021): Acceleration of the SPADE Method Using a Custom-Tailored FP-Growth Implementation Frontiers in Neuroinformatics,15:(15):723406
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [398]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756 OA
    Meyer, H. G.; Klimeck, D.; Paskarbeit, J.; Rückert, U.; Egelhaaf, M.; Porrmann, M.; Schneider, A. (2020): Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR. PloS one,15:(4)
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [397]
    2020 | Datenpublikation | PUB-ID: 2943719 OA
    Lian Sang, C.; Steinhagen, B.; Homburg, J. D.; Adams, M.; Hesse, M.; Rückert, U. (2020): Supplementary Research Data for the Paper entitled Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [396]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2943046 OA
    Lian Sang, C.; Steinhagen, B.; Homburg, J. D.; Adams, M.; Hesse, M.; Rückert, U. (2020): Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods Applied Sciences,10:(11):3980
    PUB | PDF | DOI | Download (ext.) | WoS | Preprint
     
  • [395]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942322
    Ostrau, C.; Homburg, J. D.; Klarhorst, C.; Thies, M.; Rückert, U. (2020): Benchmarking Deep Spiking Neural Networks on Neuromorphic Hardware. In: Artificial Neural Networks and Machine Learning – ICANN 2020. Springer International Publishing.
    PUB | Dateien verfügbar | DOI | arXiv
     
  • [394]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2941831
    Ostrau, C.; Klarhorst, C.; Thies, M.; Rückert, U. (2020): Benchmarking of Neuromorphic Hardware Systems. In: Neuro-inspired Computational Elements Workshop (NICE ’20), March 17–20, 2020, Heidelberg, Germany. Association for Computing Machinery (ACM). (International Conference Proceeding Series (ICPS), ).
    PUB | Dateien verfügbar | DOI
     
  • [393]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2941646 OA
    Pilz, S.; Porrmann, F.; Kaiser, M.; Hagemeyer, J.; Hogan, J. M.; Rückert, U. (2020): Accelerating Binary String Comparisons with a Scalable, Streaming-Based System Architecture Based on FPGAs Algorithms,13:(2):47
    PUB | PDF | DOI | Download (ext.) | WoS
     
  • [392]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    Ax, J.; Kucza, N.; Porrmann, M.; Rückert, U.; Jungeblut, T. (2019): Asynchronous network-on-chips (NoCs) for resource efficient many core architectures. In: Jia Di; Scott C. Smith (Hrsg.): Asynchronous Circuit Applications. Institution of Engineering and Technology (IET). S. 173-197.
    PUB | DOI | Download (ext.)
     
  • [391]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937505 OA
    Lian Sang, C.; Adams, M.; Hesse, M.; Hörmann, T.; Korthals, T.; Rückert, U. (2019): A Comparative Study of UWB-based True-Range Positioning Algorithms using Experimental Data . IEEE.
    PUB | PDF | DOI | Download (ext.)
     
  • [390]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937493 OA
    Lian Sang, C.; Adams, M.; Korthals, T.; Hörmann, T.; Hesse, M.; Rückert, U. (2019): A Bidirectional Object Tracking and Navigation System using a True-Range Multilateration Method. IEEE.
    PUB | PDF | DOI | Download (ext.)
     
  • [389]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2937362
    Homburg, J. D.; Adams, M.; Thies, M.; Korthals, T.; Hesse, M.; Rückert, U. (2019): Constraint Exploration of Convolutional Network Architectures with Neuroevolution. In: Ignacio Rojas; Gonzalo Joya; Andreu Catala (Hrsg.): Advances in Computational Intelligence. IWANN 2019. Cham: Springer. (Lecture Notes in Computer Science, 11507). S. 735-746.
    PUB | DOI
     
  • [388]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    Adams, M.; Benda, M.; Saboor, A.; Krause, A. F.; Rezeika, A.; Gembler, F.; Stawicki, P.; Hesse, M.; Essig, K.; Ben-Salem, S.; Islam, Z.; Vogelsang, A.; Jungeblut, T.; Rückert, U.; Volosyak, I. (2019): Towards an SSVEP-BCI Controlled Smart Home. In: 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [387]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2941207
    Ostrau, C.; Klarhorst, C.; Thies, M.; Rückert, U. (Accepted): Comparing Neuromorphic Systems by Solving Sudoku Problems. In: Conference Proceedings: 2019 International Conference on High Performance Computing & Simulation (HPCS). Piscataway, NJ: IEEE.
    PUB | Dateien verfügbar | DOI
     
  • [386]
    2019 | Kurzbeitrag Konferenz / Poster | Angenommen | PUB-ID: 2935328 OA
    Ostrau, C.; Klarhorst, C.; Thies, M.; Rückert, U. (Accepted): Benchmarking and Characterization of event-based Neuromorphic Hardware.
    PUB | PDF
     
  • [385]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2019): Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods Sensors,19:(3):616
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [384]
    2019 | Datenpublikation | PUB-ID: 2939390 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2019): Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [383]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2934957
    Korthals, T.; Rudolph, D.; Leitner, J.; Hesse, M.; Rückert, U. (Accepted): Multi-Modal Generative Models for Learning Epistemic Active Sensing. In: 2019 IEEE International Conference on Robotics and Automation. Piscataway, NJ: IEEE.
    PUB
     
  • [382]
    2019 | Konferenzbeitrag | PUB-ID: 2937511 OA
    Korthals, T.; Wolf, D.; Rudolph, D.; Hesse, M.; Rückert, U. (2019): Fiducial Marker based Extrinsic Camera Calibration for a Robot Benchmarking Platform. In: European Conference on Mobile Robots, ECMR 2019, Prague, CZ, September 4-6, 2019. S. 1-6.
    PUB | PDF
     
  • [381]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2937732
    Büchel, D.; Jakobsmeyer, R.; Döring, M.; Adams, M.; Rückert, U.; Baumeister, J. (2019): Effect of playing position and time on-court on activity profiles in german elite team handball International Journal of Performance Analysis in Sport ,19:(5): 832-844.
    PUB | DOI | WoS
     
  • [380]
    2019 | Konferenzbeitrag | PUB-ID: 2937521 OA
    Korthals, T.; Hesse, M.; Leitner, J.; Melnik, A.; Rückert, U. (2019): Jointly Trained Variational Autoencoder for Multi-Modal Sensor Fusion. In: 22st International Conference on Information Fusion, (FUSION) 2019, Ottawa, CA, July 2-5, 2019. S. 1-8.
    PUB | PDF
     
  • [379]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    Klimeck, D.; Meyer, H. G.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications. In: 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [378]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2018): An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods. In: 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN). Piscataway, NJ: IEEE.
    PUB | PDF | DOI | Download (ext.)
     
  • [377]
    2018 | Datenpublikation | PUB-ID: 2919795 OA
    Lian Sang, C.; Adams, M.; Hörmann, T.; Hesse, M.; Porrmann, M.; Rückert, U. (2018): Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods''. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [376]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930691
    Schöpping, T.; Korthals, T.; Hesse, M.; Rückert, U. (2018): Generic Architecture for Modular Real-time Systems in Robotics. In: Kurosh Madani; Oleg Gusikhin (Hrsg.): Proceedings of the 15th International Conference on Informatics in Control, Automation and Robotics. . Setúbal, Portugal : SciTePress. (2). S. 403-410.
    PUB | DOI
     
  • [375]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2918988
    Schöpping, T.; Korthals, T.; Hesse, M.; Rückert, U. (2018): AMiRo: A Mini Robot as Versatile Teaching Platform. In: Proceedings of the 9th International Conference on Robotics in Education, RiE 2018. Springer. (Advances in Intelligent Systems and Computing, 829). S. 177-188.
    PUB
     
  • [374]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    Ax, J.; Sievers, G.; Daberkow, J.; Flasskamp, M.; Vohrmann, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories IEEE Transactions on Parallel and Distributed Systems,29:(5): 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [373]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2919030 OA
    Schürmann, M.; Shepheard, N.; Frese, N.; Geishendorf, K.; Sudhoff, H.; Gölzhäuser, A.; Rückert, U.; Kaltschmidt, C.; Kaltschmidt, B.; Thomas, A. (2018): Technical feasibility study for production of tailored multielectrode arrays and patterning of arranged neuronal networks PLOS ONE,13:(2):e0192647
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [372]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2918982 OA
    Korthals, T.; Kragh, M.; Christiansen, P.; Karstoft, H.; Jørgensen, R. N.; Rückert, U. (2018): Multi-Modal Detection and Mapping of Static and Dynamic Obstacles in Agriculture for Process Evaluation Frontiers in Robotics and AI,5:26
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [371]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2018): FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports Journal of Signal Processing Systems,91:(7): 703-729.
    PUB | DOI | WoS
     
  • [370]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2934971
    Korthals, T.; Leitner, J.; Rückert, U. (2018): Coordinated Heterogeneous Distributed Perception based on Latent Space Representation CoRR
    PUB | arXiv
     
  • [369]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2933447
    Adams, M.; Hesse, M.; Hörmann, T.; Rückert, U. (2018): Visuelles Sensorsystem für die Trainings- und Spielunterstützung im Leistungshandball. In: Ina Fichtner (Hrsg.): Technologien im Leistungssport 3. Tagungsband zur 19. Frühjahrsschule am 14./15. Mai 2018 in Leipzig. Meyer & Meyer Verlag. (Schriftenreihe für angewandte Trainingswissenschaft , 13). S. 106-115.
    PUB
     
  • [368]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930695
    Schöpping, T.; Rückert, U. (2018): What Makes a Cognitive Robot? A Systems Engineering Perspective. In: 7. Interdisziplinärer Workshop Kognitive Systeme: Mensch, Teams, Systeme und Automaten. (Kognitive Systeme: Mensch, Teams, Systeme und Automaten, ). S. 102-110.
    PUB
     
  • [367]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    Klarhorst, C.; Flasskamp, M.; Ax, J.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2018): Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems.
    PUB
     
  • [366]
    2017 | Sammelwerksbeitrag | E-Veröff. vor dem Druck | PUB-ID: 2915288
    Hörmann, T.; Rückert, U. (2017): Vernetzte Arbeitsumgebungen: Körpernahe und tragbare Sensorik in der Arbeitswelt. In: Günter W. Maier; Gregor Engels; Eckhard Steffen (Hrsg.): Handbuch Gestaltung digitaler und vernetzter Arbeitswelten. Berlin, Heidelberg: Springer. S. 1-20.
    PUB | DOI | Download (ext.)
     
  • [365]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2913968 OA
    Stöckel, A.; Jenzen, C.; Thies, M.; Rückert, U. (2017): Binary Associative Memories as a Benchmark for Spiking Neuromorphic Hardware Frontiers in Computational Neuroscience,11:71
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [364]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2934958
    Korthals, T.; Kragh, M.; Christiansen, P.; Rückert, U. (2017): Towards Inverse Sensor Mapping in Agriculture. In: Tsampikops Kounalakis; Frits van Evert; David Michael Ball; Gert Kootstra; Lazaros Nalpantidis (Hrsg.): Proceedings of the IEEE IROS workshop on Agricultural Robotics. Learning from Industry 4.0 and moving into the future. S. 6-11.
    PUB | arXiv
     
  • [363]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): Reconfigurable Vision Processing System for Player Tracking in Indoor Sports. In: Conference on Design and Architectures for Signal and Image Processing (DASIP 2017). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [362]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2017): FPGA-based Multi-Robot Tracking Journal of Parallel and Distributed Computing,107: 146-161.
    PUB | DOI | Download (ext.) | WoS
     
  • [361]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908960
    Hörmann, T.; Hesse, M.; Christ, P.; Adams, M.; Menßen, C.; Rückert, U. (2017): Detailed Estimation of Cognitive Workload with Reference to a Modern Working Environment. In: Ana Fred; Hugo Gamboa (Hrsg.): Biomedical Engineering Systems and Technologies. Cham: Springer Nature. (Communications in Computer and Information Science, ). S. 205-223.
    PUB | DOI
     
  • [360]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    Ax, J.; Kucza, N.; Vohrmann, M.; Jungeblut, T.; Porrmann, M.; Rückert, U. (Accepted): Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC. In: IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17).
    PUB
     
  • [359]
    2017 | Report | PUB-ID: 2913643 OA
    Romoth, J.; Porrmann, M.; Rückert, U. (2017): Survey of FPGA applications in the period 2000 – 2015 (Technical Report).
    PUB | PDF | DOI
     
  • [358]
    2017 | Konferenzbeitrag | PUB-ID: 2908757 OA
    Lian Sang, C.; Hesse, M.; Zehe, S.; Adams, M.; Hörmann, T.; Rückert, U. (2017): An Adaptive Acknowledgement On-demand Protocol for Wireless Sensor Networks. In: Proceedings of the 6th International Confererence on Sensor Networks. (1). S. 174-181.
    PUB | PDF | DOI | Download (ext.)
     
  • [357]
    2016 | Datenpublikation | PUB-ID: 2902276 OA
    Schöpping, T.; Korthals, T.; Herbrechtsmeier, S.; Chinapirom, T.; Abel, R.; Barther, M.; Kenneweg, T.; Braun, C.; Rückert, U. (2016): AMiRo-OS. Bielefeld University.
    PUB | Dateien verfügbar | DOI
     
  • [356]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903244
    Hesse, M.; Christ, P.; Hörmann, T.; Adams, M.; Rückert, U. (2016): Die Entwicklung zukünftiger körpernaher Sensorsysteme für die autarke und mobile Trainingsunterstützung. In: Ina Fichtner (Hrsg.): Technologien im Leistungssport. Aachen: Meyer & Meyer. (Schriftenreihe Angewandte Trainingswissenschaft, 4). S. 152-161.
    PUB | Dateien verfügbar
     
  • [355]
    2016 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908968
    Rückert, U. (2016): Brain-Inspired Architectures for Nanoelectronics. In: Bernd Hoefflinger (Hrsg.): CHIPS 2020 VOL. 2: New Vistas in Nanoelectronics. 1. Cham, Switzerland: Springer International Publishing. S. 249--274.
    PUB | DOI
     
  • [354]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905038 OA
    Hörmann, T.; Hesse, M.; Adams, M.; Rückert, U. (2016): A Software Assistant for User-Centric Calibration of a Wireless Body Sensor. In: 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN). IEEE. S. 183-188.
    PUB | PDF | DOI | Download (ext.)
     
  • [353]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903248 OA
    Hörmann, T.; Hesse, M.; Christ, P.; Adams, M.; Menßen, C.; Rückert, U. (2016): Fine-Grained Prediction of Cognitive Workload in a Modern Working Environment by Utilizing Short-Term Physiological Parameters. In: Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies. SCITEPRESS. (4). S. 42-51.
    PUB | PDF | DOI | Download (ext.)
     
  • [352]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906483
    Herbrechtsmeier, S.; Korthals, T.; Schöpping, T.; Rückert, U. (2016): AMiRo: A Modular & Customizable Open-Source Mini Robot Platform. IEEE.
    PUB | DOI
     
  • [351]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905037 OA
    Hesse, M.; Adams, M.; Hörmann, T.; Rückert, U. (2016): Towards a Comprehensive Power Consumption Model for Wireless Sensor Nodes. In: 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN). IEEE. S. 390-395.
    PUB | PDF | DOI | Download (ext.)
     
  • [350]
    2016 | Konferenzbeitrag | PUB-ID: 2906482
    Korthals, T.; Barther, M.; Schöpping, T.; Herbrechtsmeier, S.; Rückert, U. (2016): Occupancy Grid Mapping with Highly Uncertain Range Sensors based on Inverse Particle Filters. In: Proceedings of the 13th International Conference on Informatics in Control, Automation and Robotics. S. 192-200.
    PUB | DOI
     
  • [349]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    Sievers, G.; Ax, J.; Kucza, N.; Flasskamp, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Rückert, U. (2015): Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI. In: 2015 IEEE International Symposium on Circuits & Systems (ISCAS). IEEE. S. 1925-1928.
    PUB | DOI | Download (ext.)
     
  • [348]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    Sievers, G.; Daberkow, J.; Ax, J.; Flasskamp, M.; Kelly, W.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI. In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC). IEEE. S. 175-181.
    PUB | DOI
     
  • [347]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757486
    Schöpping, T.; Korthals, T.; Herbrechtsmeier, S.; Rückert, U. (2015): AMiRo: A Mini Robot for Scientific Applications. In: Ignacio Rojas; Gonzalo Joya; Andreu Catala (Hrsg.): Advances in Computational Intelligence. Cham: Springer. (Lecture Notes in Computer Science, 9094). S. 199-205.
    PUB | DOI
     
  • [346]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    Ibraheem, O. W.; Irwansyah, A.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-6.
    PUB | DOI
     
  • [345]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    Irwansyah, A.; Ibraheem, O. W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2015): FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking. In: Michael Hübner; Maya Gokhale; René Cumplido (Hrsg.): 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). Piscataway, NJ: IEEE. S. 1-8.
    PUB | DOI
     
  • [344]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    Keuck, L.; Hemmelgarn, F.; Frohleke, N.; Bocker, J.; Vohrmann, M.; Jungeblut, T.; Rückert, U. (2015): Neuro control of a PFC rectifier for harmonic reduction at partial loads. In: 2014 International Conference on Advances in Green Energy (ICAGE). Institute of Electrical and Electronics Engineers (IEEE).
    PUB | DOI
     
  • [343]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908970
    Rückert, U. (2015): VLSI-Entwurfsmethoden. In: Heinrich Klar; Tobias Noll (Hrsg.): Integrierte Digitale Schaltungen: Vom Transistor zur optimierten Logikschaltung. 3. Berlin, Heidelberg: Springer Berlin Heidelberg. S. 669--689.
    PUB | DOI
     
  • [342]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783152 OA
    Hörmann, T.; Christ, P.; Hesse, M.; Rückert, U. (2015): Robust Estimation of Physical Activity by Adaptively Fusing Multiple Parameters. In: Wearable and Implantable Body Sensor Networks (BSN), 2015 IEEE 12th International Conference on. IEEE. S. 1-6.
    PUB | PDF | DOI | Download (ext.)
     
  • [341]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2902858
    Korthals, T.; Krause, T.; Rückert, U. (2015): Evidence Grid Based Information Fusion for Semantic Classifiers in Dynamic Sensor Networks. In: Oliver Niggemann; Jürgen Beyerer (Hrsg.): Machine Learning for Cyber Physical Systems. Berlin, Heidelberg: Springer Science + Business Media. S. 9-14.
    PUB | DOI
     
  • [340]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    Ax, J.; Buda, A.; Schneider, D.; Hartfiel, J.; Dürkop, L.; Jungeblut, T.; Jasperneite, J.; Vedral, A.; Rückert, U. (2015): Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme.
    PUB
     
  • [339]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    Vohrmann, M.; Chatterjee, S.; Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2015): A 65 nm Standard Cell Library for Ultra Low-power Applications. IEEE.
    PUB | DOI
     
  • [338]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992
    Irwansyah, A.; Ibraheem, O. W.; Klimeck, D.; Porrmann, M.; Rückert, U. (2014): FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera Interfaces.
    PUB
     
  • [337]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2730661 OA
    Hesse, M.; Christ, P.; Hörmann, T.; Rückert, U. (2014): A Respiration Sensor for a Chest-Strap Based Wireless Body Sensor. In: SENSORS, 2014 IEEE. IEEE. S. 490-493.
    PUB | PDF | DOI
     
  • [336]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    Hübener, B.; Sievers, G.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2014): CoreVA: A Configurable Resource-efficient VLIW Processor Architecture. In: Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing. IEEE. S. 9-16.
    PUB | DOI
     
  • [335]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2704390
    Christ, P.; Rückert, U. (2014): Identification of Athletes During Walking and Jogging Based on Gait and Electrocardiographic Patterns. In: Mireya Fernandez-Chimeno; Pedro L. Fernandes; Sergio Alvarez; Deborah Stacey; Jordi Solé-Casals; Ana Fred; Hugo Gamboa (Hrsg.): Biomedical Engineering Systems and Technologies. Berlin, Heidelberg: Springer Berlin Heidelberg. (Communications in Computer and Information Science, 452,). S. 240-257.
    PUB | DOI | Download (ext.)
     
  • [334]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    Lütkemeier, S.; Jungeblut, T.; Berge, H. K. O.; Aunet, S.; Porrmann, M.; Rückert, U. (2013): A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control IEEE Journal Of Solid-State Circuits,48:(1): 8-19.
    PUB | DOI | WoS
     
  • [333]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    Korf, S.; Sievers, G.; Ax, J.; Cozzi, D.; Jungeblut, T.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2013): Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme. In: Jürgen Gausemeier; Roman Dumitrescu; Franz Rammig; Ansgar Trächtler (Hrsg.): Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme. Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn. (HNI-Verlagsschriftenreihe, 310). S. 79-90.
    PUB | PDF
     
  • [332]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    Sievers, G.; Christ, P.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications. In: 2013 NORCHIP.
    PUB | DOI
     
  • [331]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634404
    Tanoto, A.; Gomez, J. V.; Mavridis, N.; Li, H.; Rückert, U.; Garrido, S. (2013): Teletesting: Remote Path Planning Experimentation and Benchmarking in the TeleWorkbench.
    PUB | Download (ext.)
     
  • [330]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    Christ, P.; Sievers, G.; Einhaus, J.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2013): Pareto-optimal Signal Processing on Low-Power Microprocessors. In: Proceedings of the 12th IEEE International Conference on SENSORS. IEEE. S. 1843-1846.
    PUB | DOI | Download (ext.)
     
  • [329]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576303 OA
    Christ, P.; Werner, F.; Rückert, U.; Mielebacher, J. (2013): Athlete Identification using Acceleration and Electrocardiographic Measurements Recorded with a Wireless Body Sensor. In: Sergio Alvarez; Jordi Solé-Casals; Ana Fred; Hugo Gamboa (Hrsg.): Proc. of the 6th Int. Conf. on Bio-Inspired Systems and Signal Processing, Int. Joint Conf. on Biomedical Engineering Systems and Technologies. SciTePress. S. 11-19.
    PUB | PDF | Download (ext.)
     
  • [328]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    Jungeblut, T.; Hübener, B.; Porrmann, M.; Rückert, U. (2013): A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors ACM Trans. Embed. Comput. Syst.,13:(2): 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [327]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531
    Lachmair, J.; Merényi, E.; Porrmann, M.; Rückert, U. (2013): A reconfigurable neuroprocessor for self-organizing feature maps Neurocomputing,112:(SI): 189-199.
    PUB | DOI | Download (ext.) | WoS
     
  • [326]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493986
    Herbrechtsmeier, S.; Rückert, U.; Sitte, J. (2012): AMiRo – Autonomous Mini Robot for Research and Education. In: Ulrich Rückert; Joaquin Sitte; Felix Werner (Hrsg.): Advances in Autonomous Mini Robots. Heidelberg: Springer. S. 101-112.
    PUB | DOI
     
  • [325]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    Romoth, J.; Jungewelter, D.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2012): Optimizing inter-FPGA communication by automatic channel adaptation. In: 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico . Piscataway, NJ: IEEE. S. 1-7.
    PUB | DOI
     
  • [324]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2546464
    Tanoto, A.; Li, H.; Rückert, U.; Sitte, J. (2012): Scalable and Flexible Vision-Based Multi-Robot Tracking System. In: Proceedings of the IEEE International Symposium on Intelligent Control (ISIC). IEEE. S. 19-24.
    PUB | DOI
     
  • [323]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547051
    Tanoto, A.; Rückert, U. (2012): Local Navigation Strategies for Multi-Robot Exploration: From Simulation to Experimentation with Mini-Robots. In: Procedia Engineering. Elsevier. (41). S. 1197-1203.
    PUB | DOI
     
  • [322]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549895
    Al-Bermani, A.; Wördehoff, C.; Jan, O. H. A.; Puntsri, K.; Rückert, U.; Noé, R. (2012): Real-time Comparison of Blind Phase Search with Different Angle Resolutions for 16-QAM.
    PUB | DOI
     
  • [321]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811
    Lachmair, J.; Merenyi, E.; Porrmann, M.; Rückert, U. (2012): gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing Maps. In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. S. 645-650.
    PUB | Download (ext.)
     
  • [320]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493993
    Tanoto, A.; Werner, F.; Rückert, U. (2012): Multi-Robot System Validation: From Simulation to Prototyping with Minirobots in the Teleworkbench. In: Ulrich Rückert; Joaquin Sitte; Felix Werner (Hrsg.): Advances in Autonomous Mini Robots. Heidelberg: Springer. S. 147-160.
    PUB | DOI
     
  • [319]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2575545
    Backhaus, A.; Lachmair, J.; Rückert, U.; Seiffert, U. (2012): Hardware accelerated real time classification of hyperspectral imaging data for coffee sorting. In: European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. S. 627-632.
    PUB | Download (ext.)
     
  • [318]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549880
    Al-Bermani, A.; Wördehoff, C.; Puntsri, K.; Jan, O. H. A.; Rückert, U.; Noé, R. (2012): Phase Estimation Filter Length Tolerance for Real-Time 16-QAM Transmission System Using QPSK Partitioning.
    PUB
     
  • [317]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547438
    Rückert, U.; Merenyi, E. (2012): Parallel Neural Hardware: The Time is Right. S. 597-602.
    PUB | Download (ext.)
     
  • [316]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    Lütkemeier, S.; Jungeblut, T.; Porrmann, M.; Rückert, U. (2012): A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control. In: Institute of Electrical and Electronics Engineers (Hrsg.): Proc. of the International Solid-State Circuits Conference (ISSCC). Piscataway, NJ: IEEE. S. 484-485.
    PUB | DOI
     
  • [315]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    Hagemeyer, J.; Hilgenstein, A.; Jungewelter, D.; Cozzi, D.; Felicetti, C.; Rückert, U.; Korf, S.; Köster, M.; Margaglia, F.; Porrmann, M.; Dittmann, F.; Ditze, M.; Harris, J.; Sterpone, L.; Ilstad, J. (2012): A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing. In: 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012). Piscataway, NJ: IEEE. S. 9-16.
    PUB | DOI | Download (ext.)
     
  • [314]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    Jungeblut, T.; Ax, J.; Porrmann, M.; Rückert, U. (2012): A TCMS-based architecture for GALS NoCs. In: IEEE Circuits and Systems Society; Institute of Electrical and Electronics Engineers (Hrsg.): 2012 IEEE International Symposium on Circuits and Systems. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [313]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547432
    Al-Bermani, A.; Wördehoff, C.; Puntsri, K.; Omar, J.; Rückert, U.; Noe, R. (2012): Real-time synchronous 16-QAM Optical Transmission system Using Blind Phase Search and QPSK Partitioning Carrier Recovery Techniques.
    PUB | Download (ext.)
     
  • [312]
    2012 | Konferenzband | Veröffentlicht | PUB-ID: 2493982
    Ulrich Rückert; Joaquin Sitte; Felix Werner (Hrsg.) (2012): Advances in Autonomous Mini Robots: Proceedings of the 6-th AMiRE Symposium. Heidelberg: Springer.
    PUB | DOI
     
  • [311]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    Korf, S.; Cozzi, D.; Koester, M.; Hagemeyer, J.; Porrmann, M.; Rückert, U.; Santambrogio, M. D. (2011): Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs. In: Paul Chow (Hrsg.): IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings . Piscataway, NJ: IEEE. S. 125-132.
    PUB | DOI | Download (ext.)
     
  • [310]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2372545
    Christ, P.; Werner, F.; Rückert, U.; Mielebacher, J. (2011): An approach for determining linear velocities of athletes from acceleration measurements using a neural network. In: B. Morrison; M. H. Hamza (Hrsg.): Proc. of the 6th IASTED Int. Conf. on Biomechanics. ACTA Press. S. 105-112.
    PUB | DOI
     
  • [309]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2551440
    Blesken, M. W.; Chebil, A.; Rückert, U.; Esquivel, X.; Schuetze, O. (2011): Integrated circuit optimization by means of evolutionary multi-objective optimization. In: Association for Computing Machinery (Hrsg.): Proceedings of the 13th annual conference on Genetic and evolutionary computation. New York, NY, USA: ACM. (ACM Digital Library, ). S. 807-812.
    PUB | DOI | Download (ext.)
     
  • [308]
    2011 | Konferenzbeitrag | PUB-ID: 2406730
    Al-Bermani, A.; Wördehoff, C.; Hoffmann, S.; Puntsri, K.; Rückert, U.; Noé, R. (2011): Realtime Implementation of Square 16-QAM Transmission System. Proc. SPIE 8065, 806519.
    PUB | DOI
     
  • [307]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    Romoth, J.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2011): Fast Design-space Exploration with FPGA Cluster. In: DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing.
    PUB | Download (ext.)
     
  • [306]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    Jungeblut, T.; Ax, J.; Sievers, G.; Hübener, B.; Porrmann, M.; Rückert, U. (2011): Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited). In: Proc. of the Radar, Communication and Measurement Conference (RADCOM).
    PUB | Dateien verfügbar
     
  • [305]
    2011 | Konferenzbeitrag | PUB-ID: 2406708
    Al-Bermani, A.; Wördehoff, C.; Hoffmann, S.; Rückert, U.; Noé, R. (2011): Synchronous 16-QAM Transmission in a FPGA-Based Coherent Receiver with Different Phase Estimation Filter Lengths. VDE-Verlag. (228).
    PUB | Download (ext.)
     
  • [304]
    2011 | Konferenzbeitrag | PUB-ID: 2406796
    Hoffmann, S.; Al-Bermani, A.; Wördehoff, C.; Rückert, U.; Noé, R. (2011): Kohärente optische 16-QAM-Übertragung mit ressourceneffizienter Vorwärts-Phasenschätzung.
    PUB
     
  • [303]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623
    Nava, F.; Sciuto, D.; Santambrogio, M. D.; Herbrechtsmeier, S.; Porrmann, M.; Witkowski, U.; Rückert, U. (2011): Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms. ACM Transactions on Reconfigurable Technology and Systems (TRETS),4:(3): 1-22.
    PUB | DOI | WoS
     
  • [302]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2406697
    Al-Bermani, A.; Wördehoff, C.; Hoffmann, S.; Rückert, U.; Noé, R. (2011): Nonlinear Effect of IQ Modulator in a Realtime Synchronous 16-QAM Transmission System. IEEE.
    PUB | DOI
     
  • [301]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510
    Griessl, R.; Herbrechtsmeier, S.; Porrmann, M.; Rückert, U. (2011): A Low-Power Vision Processing Platform for Mobile Robots. In: Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures.
    PUB | Download (ext.)
     
  • [300]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2300464
    Christ, P.; Neuwinger, B.; Werner, F.; Rückert, U. (2011): Performance Analysis of the nRF24L01 Ultra-Low-Power Transceiver in a Multi-Transmitter and Multi-Receiver Scenario. In: 2011 IEEE sensors proceedings. Piscataway, NJ: IEEE. S. 1205-1208.
    PUB | DOI
     
  • [299]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2307141
    Al-Bermani, A.; Wördehoff, C.; Hoffmann, S.; Peau, T.; Rückert, U.; Noe, R. (2011): Synchronous Demodulation of Coherent 16-QAM with Feedforward Carrier Recovery IEICE Transactions on Communications,E94-B:(7): 1794-1800.
    PUB | DOI | WoS
     
  • [298]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286604 OA
    Tanoto, A.; Werner, F.; Rückert, U.; Li, H. (2011): Teleworkbench: Validating Robot Programs from Simulation to Prototyping with Minirobots (Demonstration). Taipei Taiwan: AAMAS 2011. S. 1303-1304.
    PUB | PDF | Download (ext.)
     
  • [297]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    Jungeblut, T.; Liß, C.; Porrmann, M.; Rückert, U. (2011): Design-space Exploration for Flexible WLAN Hardware. In: N. Zorba; C. Skianis; C. Verikoukis (Hrsg.): Cross Layer Designs in WLAN Systems. Leicester, UK: Troubador Publishing. S. 521-564.
    PUB
     
  • [296]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286608
    Berge, A. H. K. O.; Blesken, B. M.; Aunet, C. S.; Rückert, U. (2010): Design of 9T SRAM for dynamic voltage supplies by a multiobjective optimization approach. In: IEEE Circuits and Systems Society; Institute of Electrical and Electronics Engineers (Hrsg.): Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on. Piscataway, NJ: IEEE. S. 319-322.
    PUB | DOI
     
  • [295]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475069
    Blesken, M.; Lütkemeier, S.; Rückert, U. (2010): Multiobjective optimization for transistor sizing sub-threshold CMOSlogic standard cells. In: Institute of Electrical and Electronics Engineers (Hrsg.): Proc. IEEE Int Circuits and Systems (ISCAS) Symp. Piscataway, NJ: IEEE. S. 1480-1483.
    PUB | DOI
     
  • [294]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968280
    Al-Bermani, A.; Wördehoff, C.; Hoffmann, S.; Sandel, D.; Rückert, U.; Noe, R. (2010): Real-Time Phase-Noise-Tolerant 2.5-Gb/s Synchronous 16-QAM Transmission IEEE Photonics Technology Letters,22:(24): 1823-1825.
    PUB | DOI | WoS
     
  • [293]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968122
    Hoffmann, S.; Wördehoff, C.; Al-Bermani, A.; El-Darawy, M.; Puntsri, K.; Rückert, U.; Noe, R. (2010): Hardware-Efficient Phase Estimation for Digital Coherent Transmission With Star Constellation QAM IEEE Photonics Journal,2:(2): 174-180.
    PUB | DOI | WoS
     
  • [292]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 1940858
    Christ, P.; Mielebacher, J.; Haag, M.; Rückert, U. (2010): Detection of Body Movement and Measurement of Physiological Stress with a Mobile Chest Module in Obesity Prevention. In: Anthony Bredford; Matthew Owens (Hrsg.): Australasian Conference on Mathematics and Computers in Sport. S. 67-74.
    PUB
     
  • [291]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018530
    Hoffmann, S.; Wördehoff, C.; al Bermani, A.; Rückert, U.; Noe, R. (2010): Hardware-effiziente Phasenschätzung für kohärenten QAM-Empfang mit regulären Stern-Konstellationen. In: 11. ITG-Fachtagung "Photonische Netze". ITG/VDE. (222). S. 221-224.
    PUB | Download (ext.)
     
  • [290]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622
    Puttmann, C.; Porrmann, M.; Rückert, U. (2010): Extending GigaNoC towards a Dependable Network-on-Chip. In: Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC).
    PUB
     
  • [289]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    Jungeblut, T.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): Design Space Exploration for Memory Subsystems of VLIW Architectures. In: 5th IEEE International Conference on Networking, Architecture, and Storage. S. 377-385.
    PUB | DOI
     
  • [288]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018570
    Werner, F.; Rückert, U.; Tanoto, A.; Welzel, J. (2010): The Teleworkbench: A Platform for Performing and Comparing Experiments in Robot Navigation. In: Proceedings of the Workshop on The Role of Experiments in Robotics Research.
    PUB | Download (ext.)
     
  • [287]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018575 OA
    Wilhelm, P.; Thomas, P.; Monier, E.; Timmermann, R.; Dellnitz, M.; Werner, F.; Rückert, U. (2010): An Integrated Monitoring and Analysis System for Performance Data of Indoor Sport Activities. In: The 10th Australasian Conference on Mathematics and Computers in Sport.
    PUB | PDF
     
  • [286]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): A Framework for the Design Space Exploration of Software-Defined Radio Applications. 2nd International ICST Conference on Mobile Lightweight Wireless Systems.
    PUB | Download (ext.)
     
  • [285]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    Jungeblut, T.; Lütkemeier, S.; Sievers, G.; Porrmann, M.; Rückert, U. (2010): A modular design flow for very large design space explorations. CDNLive! EMEA 2010.
    PUB | Dateien verfügbar
     
  • [284]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018490
    al Bermani, A.; Noe, R.; Hoffmann, S.; Wördehoff, C.; Rückert, U.; Pfau, T. (2010): Implementation of Coherent 16-QAM Digital Receiver with Feedforward Carrier Recovery. In: Signal Processing in Photonic Communications. IEEE Xplore.
    PUB | Download (ext.)
     
  • [283]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    Jungeblut, T.; Puttmann, C.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2010): Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography Advances in Radio Science,8: 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [282]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    Koester, M.; Luk, W.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2010): Design Optimizations for Tiled Partially Reconfigurable Systems IEEE Transactions on Very Large Scale Integration (VLSI) Systems,19:(6): 1048-1061.
    PUB | DOI | WoS
     
  • [281]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018485
    Adelt, P.; Kleinjohann, B.; Herbrechtsmeier, S.; Rückert, U. (2010): Demonstrating self-optimization using a heterogeneous robot group. In: Proceedings of the 8th IEEE International Conference on Industrial Informatics. Piscataway, NJ: IEEE. S. 727-732.
    PUB | DOI
     
  • [280]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018497
    Al Bermani, A.; Wördehoff, C.; Hoffmann, S.; Puntsri, K.; Pfau, T.; Rückert, U.; Noe, R. (2010): Realtime 16-QAM Transmission with Coherent Digital Receiver. In: OECC 2010. IEEE Xplore.
    PUB | Download (ext.)
     
  • [279]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018505
    al Bermani, A.; Wördehoff, C.; Pfau, T.; Hoffmann, S.; Rückert, U.; Noe, R. (2010): First Realtime Synchronous 16-QAM Transmission with Coherent Digital Receiver. In: 11. ITG-Fachtagung "Photonische Netze". ITG/VDE. (222). S. 153-156.
    PUB | Download (ext.)
     
  • [278]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018517
    Herbrechtsmeier, S.; El Habbal, M. A. M.; Rückert, U.; Witkowski, U. (2010): Robust Multihop Communication for Mobile Applications. In: Proceedings of IARP Workshop on Robotics for Risky Interventions and Environmental Surveillance (RISE) 2010.
    PUB | Download (ext.)
     
  • [277]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557
    Purnaprajna, M.; Porrmann, M.; Rückert, U.; Hussmann, M.; Thies, M.; Kastens, U. (2010): Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis ACM Transactions on Reconfigurable Technology,3:(3): 1-25.
    PUB | DOI | WoS
     
  • [276]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564
    Puttmann, C.; Porrmann, M.; Grassi, P. R.; Santambrogio, M. D.; Rückert, U. (2010): High Level Specification of Embedded Listeners for Monitoring of Network-on-Chips. In: Proceedings of the IEEE International Symposium on Circuits and Systems. S. 3333-3336.
    PUB | DOI
     
  • [275]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1929613
    Lütkemeier, S.; Rückert, U. (2010): A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror IEEE Transactions on Circuits and Systems II: Express Briefs,57:(9): 721-724.
    PUB | DOI | WoS
     
  • [274]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286299
    Blesken, M.; Rückert, U.; Steenken, D.; Witting, K.; Dellnitz, M. (2009): Multiobjective optimization for transistor sizing of CMOS logic standard cells using set-oriented numerical techniques. In: Institute of Electrical and Electronics Engineers (Hrsg.): NORCHIP, 2009. Piscataway, NJ: IEEE. S. 1-4.
    PUB | DOI
     
  • [273]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289299
    Lütkemeier, S.; Kaulmann, T.; Rückert, U. (2009): A Sub-200mV 32bit ALU with 0.45pJ/instruction in 90nm CMOS. In: Semiconductor Conference Dresden.
    PUB
     
  • [272]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289340
    Chinapirom, T.; Witkowski, U.; Rückert, U. (2009): Vision Module for Mini-robots Providing Optical Flow Processing for Obstacle Avoidance. In: Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics. Berlin, Heidelberg: Springer-Verlag. S. 208-219.
    PUB | DOI
     
  • [271]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752 OA
    Purnaprajna, M.; Pohl, C.; Porrmann, M.; Rückert, U. (2009): Using Run-time Reconfiguration for Energy Savings in Parallel Data Processing. In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA. S. 119-125.
    PUB | PDF
     
  • [270]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    Dreesen, R.; Jungeblut, T.; Thies, M.; Porrmann, M.; Rückert, U.; Kastens, U. (2009): A Synchronization Method for Register Traces of Pipelined Processors. In: Proceedings of the International Embedded Systems Symposium 2009 (IESS '09). Schloss Langenargen, Germany. S. 207-217.
    PUB | Download (ext.)
     
  • [269]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144795
    El-Darawy, M.; Herath, V.; Pfau, T.; Hoffmann, S.; Peveling, R.; Adamczyk, O.; Wördehoff, C.; Noe, R.; Rückert, U. (2009): Analysis of an ASIC-based Coherent Polarization-Multiplexed QPSK Receiver and Different Receiver Frontends. In: 10. ITG-Fachtagung "Photonische Netze". Leipzig, Germany: ITG/VDE.
    PUB | Download (ext.)
     
  • [268]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144865 OA
    Wilhelm, P.; Monier, E.; Thomas, P.; Rückert, U. (2009): SPA - A System for Analysis of Indoor Team Sports Using Video Tracking and Wireless Sensor Network. In: 6th International Symposium on Image and Signal Processing and Analysis (ISPA 2009). Salzburg, Austria.
    PUB | PDF
     
  • [267]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    Paiz, C.; Pohl, C.; Radkowski, R.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09). The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE. S. 372-375.
    PUB | DOI
     
  • [266]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772
    Liß, C.; Porrmann, M.; Rückert, U. (2009): InCyte ChipEstimator in Research and Education. In: CDNLive EMEA 2009.
    PUB
     
  • [265]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144791
    Neuwinger, B.; Witkowski, U.; Rückert, U. (2009): Ad-Hoc Communication and Localization System for Mobile Robots. In: Advances in Robotics. Berlin, Heidelberg: Springer-Verlag. (5744/2009). S. 220-229.
    PUB | DOI
     
  • [264]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144809
    Hoffmann, S.; El-Darawy, M.; Pfau, T.; Wördehoff, C.; Peveling, R.; Rückert, U.; Noe, R. (2009): Realtime Phase Tracking with Multiplier-Free Barycenter Approximation in Digital Synchronous QPSK Receiver for Coherent Detection. In: IEEE Photonics Society (Hrsg.): LEOS, Annual Meeting 2009. Belek-Antalya, Turkey: IEEE.
    PUB | DOI
     
  • [263]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    Jungeblut, T.; Klassen, D.; Dreesen, R.; Porrmann, M.; Thies, M.; Rückert, U.; Kastens, U. (2009): Design Space Exploration for Next Generation Wireless Technologies (invited talk). In: Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009.
    PUB
     
  • [262]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    Pohl, C.; Hagemeyer, J.; Porrmann, M.; Rückert, U. (2009): Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks. In: IEEE Circuits and Systems Society; IEEE Electron Devices Society; Institute of Electrical and Electronics Engineers (Hrsg.): Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09). Sydney, Australia: IEEE. S. 368-371.
    PUB | DOI
     
  • [261]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144885
    Noe, R.; Rückert, U.; Hoffmann, S.; Pfau, T.; Peveling, R. (2009): Realization of Digital Coherent Receivers. In: IEEE Photonics Society (Hrsg.): LEOS, Annual Meeting 2009. Belek-Antalya, Turkey: IEEE.
    PUB | DOI
     
  • [260]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289332
    El-Habbal, M.; Rückert, U.; Witkowski, U. (2009): Topology Control in Large-Scale High Dynamic Mobile Ad-Hoc Networks. In: Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics. Berlin, Heidelberg: Springer-Verlag. S. 239-250.
    PUB | DOI
     
  • [259]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285840
    Noe, R.; Rückert, U.; Hoffmann, S.; Peveling, R.; Pfau, T.; El-Darawy, M.; Al-Bermani, A. (2009): Real-time implementation of digital coherent detection. In: Optical Communication, 2009. ECOC '09. 35th European Conference on. S. 1-4.
    PUB | Download (ext.)
     
  • [258]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144786
    Loeb, H. - P.; Liß, C.; Sauer, C.; Rückert, U. (2009): UMAC – A Universal MAC Architecture for Heterogeneous Home Networks. In: Institute of Electrical and Electronics Engineers (Hrsg.): The International Workshop on Wireless and Optical Networks (WI-OPT 2009), Workshop at International Conference on Ultra Modern Telecommunications (ICUMT-2009). Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [257]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144856
    Hoffmann, S.; Herath, V.; El-Darawy, M.; Pfau, T.; Wördehoff, C.; Peveling, R.; Rückert, U.; Noe, R. (2009): Multiplier-Free Realtime Phase Tracking in Digital Synchronous QPSK, Receiver for Coherent Optical Detection. In: Institute of Electrical and Electronics Engineers (Hrsg.): ICIIS2009, CIE3-1, 28.-31. Dec. 2009, University of Perydenia, Sri Lanka. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [256]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870
    Purnaprajna, M.; Porrmann, M.; Rückert, U. (2009): Run-time reconfigurability in embedded multiprocessors ACM SIGARCH Computer Architecture News,37:(2): 30-37.
    PUB | DOI | Download (ext.)
     
  • [255]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782
    Liß, C.; Porrmann, M.; Rückert, U. (2009): Early Exploration of Network Processor Architectures Using Cadence InCyte Chip Estimator. In: CDNLive EMEA 2009.
    PUB
     
  • [254]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144821
    Witkowski, U.; Sitte, J.; Herbrechtsmeier, S.; Rückert, U. (2009): AMiRESot – A New Robot Soccer League with Autonomous Miniature Robots. In: Progress in Robotics. FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings. Springer. (Communications in Computer and Information Science, 44).
    PUB | DOI
     
  • [253]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144826
    Herbrechtsmeier, S.; Witkowski, U.; Rückert, U. (2009): BeBot: A Modular Mobile Miniature Robot Platform Supporting Hardware Reconfiguration and Multi-standard Communication. In: Chŏng-hwan Kim; Federation of International Robosoccer Association (Hrsg.): Progress in Robotics, Communications in Computer and Information Science. Proceedings of the FIRA RoboWorld Congress 2009. Incheon, Korea: Springer. (Communications in computer and information science, 44). S. 346-356.
    PUB | DOI
     
  • [252]
    2009 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2144838
    Tanoto, A.; Rückert, U.; Witkowski, U. (2009): Teleworkbench: A Teleoperated Platform for Experiments in Multi-Robotics. In: Spyros G. Tzafestas (Hrsg.): Web-Based Control and Robotics Education. Dordrecht: Springer Verlag. (38). S. 267-296.
    PUB | DOI
     
  • [251]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144730
    Kim, J. - H.; Sam Ge, S.; Vadakkepat, P.; Jesse, N.; Al Mamun, A.; Puthusserypady, S.; Rückert, U.; Sitte, J.; Witkowski, U.; Nakatsu, R.; Braunl, T.; Baltes, J.; Anderson, J.; Wong, C. - C.; Verner, I.; Ahlgren, D. (2009): Progress in Robotics, Proceedings of the FIRA RoboWorld Congress 2009. Vol. 44, 2009, ISBN: 978-3-642-03985-0. Incheon, Korea: Springer.
    PUB | DOI
     
  • [250]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144805 OA
    Monier, E.; Wilhelm, P.; Rückert, U. (2009): A Computer Vision Based Tracking System for Indoor Team Sports. In: The fourth International Conference on Intelligent Computing and Information Systems. Cairo, Egypt.
    PUB | PDF
     
  • [249]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144817
    Monier, E.; Wilhelm, P.; Rückert, U. (2009): Template Matching Based Tracking of Players in Indoor Team Sports. In: Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC 2009). Como, Italy: IEEE.
    PUB | DOI
     
  • [248]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    Paiz, C.; Hagemeyer, J.; Pohl, C.; Porrmann, M.; Rückert, U.; Schulz, B.; Peters, W.; Böcker, J. (2009): FPGA-Based Realization of Self-Optimizing Drive-Controllers. In: IEEE Industrial Electronics Society; Institute of Electrical and Electronics Engineers; Keisoku-jidō-seigyō-gakkai; Universidade do Porto (Hrsg.): the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009). Piscataway, NJ: IEEE. S. 2868-2873.
    PUB | PDF | DOI | Download (ext.)
     
  • [247]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144898
    Kim, J. - H.; Sam Ge, S.; Vadakkepat, P.; Jesse, N.; Al Mamun, A.; Puthusserypady, S.; Rückert, U.; Sitte, J.; Witkowski, U.; Nakatsu, R.; Braunl, T.; Baltes, J.; Anderson, J.; Wong, C. - C.; Verner, I.; Ahlgren, D. (2009): Advances in Robotics, Proceedings of the FIRA RoboWorld Congress 2009. 1. Incheon, Korea: Springer.
    PUB | DOI
     
  • [246]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289189
    Amin, S.; Tanoto, A.; Witkowski, U.; Rückert, U.; Abdel-Wahaab, M. (2008): Modified Local Navigation Strategy for Un-known Environment Exploration. In: 5th IEEE International Conference on Informatics in Control, Automation and Robotics. Funchal, Madeira, Portugal.
    PUB
     
  • [245]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2008): Realtime multiprocessor for mobile ad hoc networks Advances in Radio Science,6: 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [244]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289244
    Witkowski, U.; Herbrechtsmeier, S.; El Habbal, M. A. M.; Rückert, U. (2008): Powerful Miniature Robot for Research and Education. In: IEEE Proceedings of the, 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria.
    PUB
     
  • [243]
    2008 | Report | PUB-ID: 2285789
    Noe, R.; Rückert, U. (2008): Abschlußbericht zum EU-Projekt: synQPSK. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [242]
    2008 | Report | PUB-ID: 2285797
    von zur Gathen, J.; Rückert, U. (2008): Abschlußbericht des DFG-Projektes: Ressourceneffiziente Hardware-Software-Kombinationen für Kryptographie mit elliptischen Kurven. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [241]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285920
    Witkowski, U.; Monier, E.; Rückert, U.; El Ghoul, S.; El-Ghoniemy, M. S.; Wahab, M. S. A.; Fouad, A.; Hussein, A.; Kamal, A.; Abdel-Meniem, M.; El Khair, W. A. (2008): An automated platform for minirobots experiments. In: Institute of Electrical and Electronics Engineers (Hrsg.): Control, Automation, Robotics and Vision, 2008. ICARCV 2008. 10th International Conference on. Piscataway, NJ: IEEE. S. 685-688.
    PUB | DOI
     
  • [240]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    Jungeblut, T.; Dreesen, R.; Porrmann, M.; Rückert, U.; Hachmann, U. (2008): Design Space Exploration for Resource Efficient VLIW-Processors. In: University Booth of the Design, Automation and Test in Europe (DATE) conference.
    PUB
     
  • [239]
    2008 | Konferenzband | Veröffentlicht | PUB-ID: 2289231
    Teerapat Chinapirom; Ulf Witkowski; Ulrich Rückert (Hrsg.) (2008): A Biologically-Inspired and Resource-Efficient Vision System using Mobile Mini-Robots for Obstacle Avoidance.
    PUB
     
  • [238]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175 OA
    Puttmann, C.; Shokrollahi, J.; Porrmann, M.; Rückert, U. (2008): Hardware Accelerators for Elliptic Curve Cryptography Advances in Radio Science,6: 259-264.
    PUB | PDF | DOI | Download (ext.)
     
  • [237]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289199
    Ebied, H.; Witkowski, U.; Rückert, U. (2008): Visual Landmarks Based on Self-localization of Mobile Robot Using an Alternative Geometric Triangulation Algorithm. In: The 5th International Conference on Computational Intelligence, Robotics and Autonomous Systems (CIRAS),19-21 June-2008, Linz, Austria.
    PUB
     
  • [236]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289221
    Amin, S.; Tanoto, A.; Witkowski, U.; Rückert, U.; Abdel-Wahab, M. (2008): Effect of Global Position Information in Unknown World Exploration – A Case Study using the Teleworkbench. In: IEEE Proceedings of the 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria. Elsevier BV. (57). S. 1042-1047.
    PUB | DOI | WoS
     
  • [235]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289183
    Ebied, H.; Witkowski, U.; Rückert, U. (2008): Robot Localization Based on Visual Landmarks. In: The 5th IEEE International Conference on Informatics in Control, Automation and Robotics (ICINCO), 11-15 May-2008, Funchal, Madeira – Portugal.
    PUB
     
  • [234]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289215
    El Habbal, M. A. M.; Witkowski, U.; Rückert, U. (2008): Mobile Ad-hoc Communication applied and optimized for disaster scenarios. In: Wireless Technologies Kongress 2008. Bochum, Germany. S. 25-34.
    PUB
     
  • [233]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362
    Puttmann, C.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2007): GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-Multiprocessors. In: 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007). Piscataway, NJ: IEEE. S. 495-502.
    PUB | DOI | Download (ext.)
     
  • [232]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033 OA
    Hussmann, M.; Thies, M.; Kastens, U.; Purnaprajna, M.; Porrmann, M.; Rückert, U. (2007): Compiler-Driven Reconfiguration of Multiprocessors. In: Proceedings of the Workshop on Application Specific Processors (WASP) 2007.
    PUB | PDF | Download (ext.)
     
  • [231]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    Jungeblut, T.; Grünewald, M.; Porrmann, M.; Rückert, U. (2007): Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007.
    PUB | Download (ext.)
     
  • [230]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289076
    Eickhoff, R.; Kaulmann, T.; Rückert, U. (2007): Neural Inspired Architectures for Nanoelectronics. In: Francisco Sandoval (Hrsg.): Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN). Berlin, Heidelberg: Springer-Verlag. (Lecture notes in computer science, 4507). S. 414-421.
    PUB | DOI
     
  • [229]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289090 OA
    Tanoto, A.; Witkowski, U.; Rückert, U. (2007): Teleworkbench: A Remotely-Accessible Robotic Laboratory foe Education. In: Spring 2007 AAAI Symposium on Robots in AI and CS Education-Robots and Robot Venues: Resources for AI Education.
    PUB | PDF | Download (ext.)
     
  • [228]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289108
    Kaulmann, T.; Löffler, A.; Rückert, U. (2007): A Control Approach to a Biophysical Neuron Model. In: Joaquim P. Marques de Sá (Hrsg.): Proceedings of the International Conference on Artificial Neural Networks. Berlin, Heidelberg: Springer-Verlag. (Lecture notes in computer science, 4668). S. 529-538.
    PUB | DOI
     
  • [227]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289127
    El Habbal, M. A. M.; Witkowski, U.; Rückert, U. (2007): FPGA based speech processing for the Khepera Robot. In: 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Buenos Aires, Argentina.
    PUB
     
  • [226]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289139
    Amin, S.; Tanoto, A.; Witkowski, U.; Rückert, U.; Abdel-Wahaab, M. (2007): Environment Exploration Using Mini-Robot Khepera. In: International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2007). Buenos Aires, Argentina.
    PUB
     
  • [225]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289160 OA
    Rückert, U.; Eickhoff, R. (2007): Controlling complexity of RBF networks by similarity. In: ESANN. S. 181-186.
    PUB | PDF
     
  • [224]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993
    Rana, V.; Santambrogio, M.; Sciuto, D.; Kettelhoit, B.; Koester, M.; Porrmann, M.; Rückert, U. (2007): Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. In: IEEE Computer Society. Technical Committee on Parallel Processing; Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing (Hrsg.): Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society.. Piscataway, NJ: IEEE.
    PUB | DOI
     
  • [223]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286187
    Xu, F.; Rückert, U. (2007): Interference Suppression Technique for Diversity Selection Combining in an Indoor Environment. In: Antennas, 2007. INICA '07. 2nd International ITG Conference on. IEEE. S. 53-57.
    PUB | DOI
     
  • [222]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016
    Niemann, J. - C.; Puttmann, C.; Porrmann, M.; Rückert, U. (2007): Resource efficiency of the GigaNetIC chip multiprocessor architecture Journal of System Architecture,53:(5-6): 285-299.
    PUB | DOI | WoS
     
  • [221]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289096
    Kaulmann, T.; Lütkemeier, S.; Rückert, U. (2007): IAF Neuron Implementation for Mixed-Signal PCNN Hardware. In: Francisco Sandoval (Hrsg.): Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN). Berlin, Heidelberg: Springer-Verlag. (Lecture notes in computer science, 4507). S. 447-454.
    PUB | DOI
     
  • [220]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289149
    Du, J. L.; Tanoto, A.; Monier, E.; Witkowski, U.; Rückert, U. (2007): Multi-Robotics Experiments using Mini-Robots. In: Proceedings of the 3rd International Conference on Intelligent Computing and Information Systems (ICICIS 2007). Cairo, Egypt.
    PUB
     
  • [219]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289133
    Ebied, H.; Witkowski, U.; Rückert, U. (2007): Robot Localization System Based on 2D-Color Vision Sensor. In: The 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 2-5 October 2007, Buenos Aires, Argentina.
    PUB
     
  • [218]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049
    Niemann, J. - C.; Liß, C.; Porrmann, M.; Rückert, U. (2007): A Multiprocessor Cache for Massively Parallel SoC Architectures. In: Paul Lukowicz (Hrsg.): ARCS'07: Architecture of Computing Systems. Zurich, Switzerland: Springer Berlin Heidelberg. (Lecture Notes in Computer Science, 4415). S. 83-97.
    PUB | DOI
     
  • [217]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289102
    Eickhoff, R.; Kaulmann, T.; Rückert, U. (2007): Impact of shrinking technologies on the activation function of neurons. In: Joaquim P. Marques de Sá (Hrsg.): Proceedings of the International Conference on Artificial Neural Networks. Berlin, Heidelberg: Springer-Verlag. (Lecture notes in computer science, 4668). S. 501-510.
    PUB | DOI
     
  • [216]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289114 OA
    Du, J. L.; Witkowski, U.; Rückert, U. (2007): A Bluetooth Scatternet for the Khepera Robot. In: 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Buenos Aires, Argentina. S. 189-195.
    PUB | PDF | Download (ext.)
     
  • [215]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289121 OA
    Chinapirom, T.; Witkowski, U.; Rückert, U. (2007): Stereoscopic Camera for Autonomous Mini-Robots Applied in KheperaSot League. In: FIRA Robot World Congress 2007, on CD. San Francisco, USA.
    PUB | PDF | Download (ext.)
     
  • [214]
    2007 | Konferenzband | Veröffentlicht | PUB-ID: 2285612
    Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.) (2007): Autonomous Minirobots for Research and Edutainment. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [213]
    2007 | Report | PUB-ID: 2285787
    Rückert, U. (2007): Abschlußbericht zum BMBF-Projekt: NGN-PlaNets: Platforms for Networked Services. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [212]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145075
    Sitte, J.; Zhang, L.; Rückert, U. (2007): Characterization of Analog Local Cluster Neural Network Hardware for Control IEEE Transactions on Neural Networks, Special Issue on Neural Networks for Feedback Control Systems,18:(4): 1242-1253.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [211]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145265
    Eickhoff, R.; Rückert, U. (2007): Robustness of radial basis functions Neurocomputing,70:(16-18): 2758-2767.
    PUB | DOI | Download (ext.) | WoS
     
  • [210]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286250
    Kaulmann, T.; Dikmen, D.; Rückert, U. (2007): A Digital Framework for Pulse Coded Neural Network Hardware with Bit-Serial Operation. In: Hybrid Intelligent Systems, 2007. HIS 2007. 7th International Conference on. S. 302-307.
    PUB
     
  • [209]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724
    Köster, M.; Kalte, H.; Porrmann, M.; Rückert, U. (2007): Defragmentation Algorithms for Partially Reconfigurable Hardware VLSI-SoC: From Systems to Silicon,240: 41-53.
    PUB | DOI
     
  • [208]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286265
    Xu, F.; Rückert, U. (2007): SSB: A new diversity selection combining scheme and its test-bed implementation. In: Institute of Electrical and Electronics Engineers (Hrsg.): Telecommunications and Malaysia International Conference on Communications, 2007. ICT-MICC 2007. IEEE International Conference on. Piscataway, NJ: IEEE. S. 561-566.
    PUB | DOI
     
  • [207]
    2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718
    Porrmann, M.; Witkowski, U.; Rückert, U. (2006): Implementation of Self-Organizing Feature Maps in Reconfigurable Hardware. In: Amos Omondi; Jagath Rajapakse (Hrsg.): FPGA Implementations of Neural Networks. Boston, MA: Springer. S. 247-269.
    PUB | DOI
     
  • [206]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289026
    Eickhoff, R.; Rückert, U. (2006): Pareto-optimal noise and approximation properties of RBFnetworks. In: Stefanos Kollias (Hrsg.): Proceedings of the 16th International Conference on Artificial Neural Networks (ICANN). Athens, Greece: Springer Berlin Heidelberg. S. pp.:993-1002.
    PUB | DOI
     
  • [205]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289009 OA
    Tanoto, A.; Du, J. L.; Witkowski, U.; Rückert, U. (2006): Teleworkbench: An Analysis Tool for Multi-Robotic Experiments. In: Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006), 19th World Computer Congress (WCC). Santiago, Chile.
    PUB | PDF | Download (ext.)
     
  • [204]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289022
    Xu, F.; Rückert, U. (2006): Neighborhood Discovery and MAC Protocol for MANETs using a Low Complexity Directional Scheme. In: Proceedings of World Mobile Congress (WMC’06). Beijing, China, 11-13. Sep.
    PUB
     
  • [203]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961
    Niemann, J. - C.; Puttmann, C.; Porrmann, M.; Rückert, U. (2006): GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications. In: Werner Grass (Hrsg.): ARCS'06 Architecture of Computing Systems. Berlin, Heidelberg: Springer Berlin Heidelberg. (Lecture notes in computer science, 3894). S. 268-282.
    PUB | DOI
     
  • [202]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289000
    Kaulmann, T.; Witkowski, U.; Chinapirom, T.; Rückert, U. (2006): Universal mini-robot with micro-processor and reconfigurable hardware. In: Proc. of FIRA RoboWorld Conference 2006. S. 137-142.
    PUB
     
  • [201]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288994
    Witkowski, U.; Chinapirom, T.; Rückert, U. (2006): Self-Orientation of Soccer Robots on Soccer Pitch by Identifying Pitch Lines. In: Proceedings of FIRA RoboWorld Congress. Dortmund, Germany. S. 13-18.
    PUB
     
  • [200]
    2006 | Patent | Veröffentlicht | PUB-ID: 2494093
    Niemann, J. - C.; Sauer, C.; Porrmann, M.; Rückert, U. (2006): Flexible Beschleunigungseinheit für die Verarbeitung von Datenpaketen
    PUB
     
  • [199]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289018
    Xu, F.; Rückert, U. (2006): Neighborhood Discovery and MAC Protocol for MANETs using the Multiple-directional-antennas Scheme. In: Proceedings of VDE Kongress – ITG Fachtagung 'Mobility'. Aachen, Germany, 23.-25. Oct.
    PUB
     
  • [198]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286083
    Eickhoff, R.; Rückert, U. (2006): Enhancing Fault Tolerance of Radial Basis Functions. In: Institute of Electrical and Electronics Engineers (Hrsg.): Neural Networks, 2006. IJCNN '06. International Joint Conference on. Piscataway, NJ: IEEE. S. 5066-5073.
    PUB | DOI
     
  • [197]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278
    Jäger, B.; Porrmann, M.; Rückert, U. (2006): Bio-inspired massively parallel architectures for nanotechnologies. In: IEEE Circuits and Systems Society (Hrsg.): Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006).. Piscataway, NJ: IEEE. S. 1961-1964.
    PUB | DOI
     
  • [196]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286350
    Eickhoff, R.; Kaulmann, T.; Rückert, U. (2006): SIRENS: A Simple Reconfigurable Neural Hardware Structure for artificial neural network implementations. In: Institute of Electrical and Electronics Engineers (Hrsg.): Neural Networks, 2006. IJCNN '06. International Joint Conference on. Piscataway, NJ: IEEE. S. 2830-2837.
    PUB | DOI
     
  • [195]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969 OA
    Sauer, C.; Gries, M.; Dirk, S.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2006): A Lightweight NoC for the NOVA Packet Processing Plattform. In: Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop. Munich, Germany.
    PUB | PDF | Download (ext.)
     
  • [194]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288988 OA
    Eickhoff, R.; Sitte, J.; Rückert, U. (2006): Robust Local Cluster Neural Networks (ESANN). In: Proceedings of the 14th European Symposium on Artificial Neural Networks (ESANN). Bruges, Belgium. S. 119-124.
    PUB | PDF | Download (ext.)
     
  • [193]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285694
    Eickhoff, R.; Rückert, U. (2006): Robustness of Radial Basis Functions Neurocomputing,70:(16-18): 2758-2767.
    PUB | DOI | WoS
     
  • [192]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882 OA
    Eickhoff, R.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2005): Adaptable Switch boxes as on-chip routing nodes for networks-on-chip. In: Achim Rettberg; Mauro C. Zanella; Franz Josef Rammig (Hrsg.): From Specification to Embedded Systems Application . Boston, MA: Springer. (IFIP On-Line Library in Computer Science, 184). S. 201-210.
    PUB | PDF | DOI | Download (ext.)
     
  • [191]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910
    Liß, C.; Peveling, R.; Porrmann, M.; Rückert, U. (2005): Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-Roadmap. In: Symposium fuer Vorausschau und Technologieplanung. Berlin, Germany. S. 87-103.
    PUB
     
  • [190]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288927
    Rückert, U.; Beiu, V. (2005): Neural Inspired Architectures for Nanoelectronics. In: Francisco Sandoval (Hrsg.): Second International Conference on intelligent Computing and Information Systems – ICICIS 2005. Cairo, Egypt: Springer Berlin Heidelberg. (Lecture notes in computer science, 4507). S. 1-2.
    PUB | DOI
     
  • [189]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285950
    Xu, F.; Grunewald, M.; Rückert, U. (2005): A low complexity directional scheme for mobile ad hoc networks. In: Personal, Indoor and Mobile Radio Communications, 2005. PIMRC 2005. IEEE 16th International Symposium on. IEEE. (2). S. 1349-1353.
    PUB | DOI | Download (ext.)
     
  • [188]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288816
    Tanoto, A.; Witkowski, U.; Rückert, U. (2005): Teleworkbench: A Teleoperated Platform for Multi-Robot Experiments. In: Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005). Awara-Spa, Fukui, JAPAN: Springer-Verlag.
    PUB | DOI
     
  • [187]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288823
    Chinapirom, T.; Witkowski, U.; Rückert, U. (2005): Sensornahe Signalverarbeitung mit FPGAs am Beispiel der Berechnung des optischen Flusses auf mobilen Robotern. In: 3. Paderborner Workshop: Intelligente Mechatronische Systeme. Paderborn.
    PUB
     
  • [186]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288861
    Kaulmann, T.; Ferber, M.; Witkowski, U.; Rückert, U. (2005): Analog VLSI Implementation of Adaptive Synapses in Pulsed Neural Networks. In: J. Cabestany; A. Prieto; D.F. Sandoval (Hrsg.): Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). Berlin, Heidelberg: Springer Berlin Heidelberg. (Lecture notes in computer science, 3512). S. 455-462.
    PUB | DOI
     
  • [185]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900
    Kettelhoit, B.; Klassen, A.; Paiz, C.; Porrmann, M.; Rückert, U. (2005): Rekonfigurierbare Hardware zur Regelung mechatronischer Systeme. In: 3. Paderborner Workshop: Intelligente mechatronische Systeme. S. 195-205.
    PUB
     
  • [184]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288955
    Chinapirom, T.; Witkowski, U.; Rückert, U. (2005): Universal FPGA-Microcontroller Module for Autonomous Minirobots. In: AMiRE. Berlin/Heidelberg: Springer-Verlag. S. 21-26.
    PUB | DOI
     
  • [183]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050
    Koester, M.; Porrmann, M.; Rückert, U. (2005): Placement-Oriented Modeling of Partially Reconfigurable Architectures. In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.
    PUB | Download (ext.)
     
  • [182]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286202
    Du, J. L.; Witkowski, U.; Rückert, U. (2005): CSD: cell-based service discovery in large-scale robot networks. In: Intelligent Robots and Systems, 2005. (IROS 2005). 2005 IEEE/RSJ International Conference on. IEEE. S. 2235-2240.
    PUB | DOI
     
  • [181]
    2005 | Report | PUB-ID: 2285785
    Rückert, U. (2005): Abschlußbericht zum Projekt: Aktives Nachsichtsystem für mehr Sicherheit im Straßenverkehr. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [180]
    2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286
    Grünewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2005): A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In: Patrick Crowely; Mark A. Franklin; Haldun Hadimioglu; Peter Z. Onufryk (Hrsg.): Network Processor Design: Issues and Practices. Morgan Kaufmann Publisher. (3). S. 245-277.
    PUB | DOI
     
  • [179]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853 OA
    Niemann, J. - C.; Porrmann, M.; Sauer, C.; Rückert, U. (2005): An Evaluation of the Scalable GigaNetIC Architecture for Access Networks. In: Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005).
    PUB | PDF
     
  • [178]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288920
    Chinapirom, T.; Witkowski, U.; Rückert, U. (2005): Dynamic Reconfiguration of Universal FPGA-Microcontroller Module. In: FIRA RoboWorld Congress 2005. Singapore.
    PUB
     
  • [177]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288932 OA
    Franzmeier, M.; Rückert, U.; Witkowski, U. (2005): Explorative Data Analysis Based on Self-Organizing Maps and Automatic Map Analysis. In: J. Cabestany; A. Prieto; D.F. Sandoval (Hrsg.): Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). S. 725-733.
    PUB | PDF
     
  • [176]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944
    Paiz, C.; Kettelhoit, B.; Klassen, A.; Porrmann, M.; Rückert, U. (2005): Dynamically reconfigurable hardware for digital controllers in mechatronic systems. In: IEEE Industrial Electronics Society (Hrsg.): IEEE International Conference on Mechatronics (ICM 2005). Piscataway, NJ: IEEE. S. 675-680.
    PUB | DOI
     
  • [175]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829
    Kettelhoit, B.; Kalte, H.; Porrmann, M.; Rückert, U. (2005): Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic Systems. In: 5. GMM/ITG/GI-Workshop Multi-Nature Systems. S. 97-101.
    PUB
     
  • [174]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288843
    Grünewald, M.; Xu, F.; Rückert, U. (2005): Increasing the Resource-Efficiency of the CSMA/CA Protocol in Directional Ad Hoc Networks. In: Violet R. Syrotiuk (Hrsg.): Proceedings of the 4th International Conference on AD-HOCNetworks & Wireless. Cancun, Mexico: Springer Berlin Heidelberg. (Lecture notes in computer science, 3738). S. 360.
    PUB | DOI
     
  • [173]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286007
    Eickhoff, R.; Rückert, U. (2005): Fault-tolerance of basis function networks using tensor product stabilizers. In: Systems, Man and Cybernetics, 2005 IEEE International Conference on. IEEE. (3). S. 2144-2149.
    PUB | DOI
     
  • [172]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286292
    Jager, B.; Niemann, J. - C.; Rückert, U. (2005): Analytical approach to massively parallel architectures for nanotechnologies. In: Application-Specific Systems, Architecture Processors, 2005. ASAP 2005. 16th IEEE International Conference on. IEEE. S. 268-275.
    PUB | DOI
     
  • [171]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288806
    Eickhoff, R.; Rückert, U. (2005): Robustness of Radial Basis Functions. In: J. Cabestany; A. Prieto; D.F. Sandoval (Hrsg.): Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN). Barcelona, Spain. S. 264-271.
    PUB
     
  • [170]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288837 OA
    Eickhoff, R.; Rückert, U. (2005): Tolerance of Radial-Basis Functions Against Stuck-At-Faults. In: Proceedings of the International Conference on Artificial Neural Networks (ICANN). Warsaw, Poland. S. 1003-1008.
    PUB | PDF | Download (ext.)
     
  • [169]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288875
    Du, J. L.; Witkowski, U.; Rückert, U. (2005): Teleoperation of a Mobile Autonomous Robot using Web Services. In: Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005). Fukui, Japan: Springer-Verlag.
    PUB | DOI
     
  • [168]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285904
    Du, J. L.; Ruhrup, S.; Witkowski, U.; Rückert, U. (2005): Resource and service discovery for large-scale robot networks in disaster scenarios. In: Institute of Electrical and Electronics Engineers (Hrsg.): Safety, Security and Rescue Robotics, Workshop, 2005 IEEE International. Piscataway, NJ: IEEE. S. 7-12.
    PUB | DOI
     
  • [167]
    2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654
    Kalte, H.; Kettelhoit, B.; Koester, M.; Porrmann, M.; Rückert, U. (2005): A System Approach for Partially Reconfigurable Architectures International Journal of Embedded Systems (IJES), Inderscience Publisher,1:(3/4): 274-290.
    PUB | DOI
     
  • [166]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119
    Kalte, H.; Lee, G.; Porrmann, M.; Rückert, U. (2005): REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems. In: Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD.. IEEE.
    PUB | DOI
     
  • [165]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286284
    Grosseschallau, M.; Witkowski, U.; Rückert, U. (2005): Low-cost Bluetooth Communication for the Autonomous Mobile Minirobot Khepera. In: Robotics and Automation, 2005. ICRA 2005. Proceedings of the 2005 IEEE International Conference on. IEEE. S. 4194-4199.
    PUB | DOI
     
  • [164]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309
    Niemann, J. - G.; Porrmann, M.; Rückert, U. (2005): A scalable parallel SoC architecture for network processors. In: VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on. IEEE. S. 311-313.
    PUB | DOI
     
  • [163]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288768
    Grünewald, M.; Xu, F.; Rückert, U. (2004): Power Control in Directional Mobile Ad Hoc Networks. In: VDE Kongress – ITG Fachtagung 'Ambient Intelligence'. Berlin, Germany. S. 169-174.
    PUB
     
  • [162]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288782
    Witkowski, U.; Rückert, U. (2004): Aktives Nachtsichtsystem für autonome mobile Roboter. In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'.. Berlin, Germany. (1).
    PUB
     
  • [161]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286376
    Beiu, V.; Rückert, U.; Roy, S.; Nyathi, J. (2004): On nanoelectronic architectural challenges and solutions. In: Nanotechnology, 2004. 4th IEEE Conference on. IEEE. S. 628-631.
    PUB | DOI
     
  • [160]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912
    Vonnahme, E.; Griese, G.; Porrmann, M.; Rückert, U. (2004): Dynamic Reconfiguration of Real-Time Network Interfaces. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 376-379.
    PUB | DOI
     
  • [159]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285962
    Witkowski, U.; Rückert, U. (2004): Pattern synchronization for associative memory in pulse coded neural networks. In: Circuits and Systems, 2004. MWSCAS '04. The 2004 47th Midwest Symposium on. IEEE. (2). S. II-381-II-384.
    PUB | DOI
     
  • [158]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708
    Kalte, H.; Porrmann, M.; Rückert, U. (2004): Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer Hardware. In: ARCS 2004 – Organic and Pervasive Computing. S. 234-244.
    PUB
     
  • [157]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760
    Vonnahme, E.; Griese, B.; Porrmann, M.; Rückert, U. (2004): Dynamische Rekonfiguration echtzeitfähiger Netzwerkschnittstellen. In: VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'. Berlin, Germany: VDE Verlag. S. 99-104.
    PUB
     
  • [156]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288796
    Minchev, Z.; Manolov, O.; Noykov, S.; Witkowski, U.; Rückert, U. (2004): Fuzzy Logic Based Intelligent Motion Control of Robots Swarm Simulated by Khepera Robots. In: IEEE Instrumentation and Measurement Society (Hrsg.): IEEE International Conference on Intelligent Systems. Piscataway, NJ: IEEE. S. 305-310.
    PUB | DOI
     
  • [155]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101
    Grunewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): A mapping strategy for resource-efficient network processing on multiprocessor SoCs. In: European Design Automation Association (Hrsg.): Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings. Los Alamitos, Calif. : IEEE Comput. Soc. (2). S. 758-763.
    PUB | DOI
     
  • [154]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233
    Kalte, H.; Lee, G.; Porrmann, M.; Rückert, U. (2004): Study on column wise design compaction for reconfigurable systems. In: IEEE Electron Devices Society; School of Information Technology and Electrical Engineering (Hrsg.): Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. Piscataway, NJ: IEEE. S. 413-416.
    PUB | DOI
     
  • [153]
    2004 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145314
    Iske, B.; Jäger, B.; Rückert, U. (2004): A Ray-Tracing Approach for Simulating Recognition Abilities of Active Infrared Sensor Arrays IEEE Sensors Journal,4:(2): 237-247.
    PUB | DOI
     
  • [152]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288714 OA
    Brinkmann, A.; Heidebuer, M.; Meyer auf der Heide, F.; Rückert, U.; Salzwedel, K.; Vodisek, M. (2004): V:Drive – Costs and Benefits of an Out-of-Band Storage Virtualization System. In: Proceedings of the 12th NASA Goddard, 21st IEEE Conference on Mass Storage Systems and Technologies (MSST). College Park, Maryland, USA. S. 153-157.
    PUB | PDF
     
  • [151]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776
    Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): Parallele Architekturen für Netzwerkprozessoren. In: Ambient Intelligence, VDE Kongress. VDE Verlag. (1). S. 105-110.
    PUB | Download (ext.)
     
  • [150]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288788
    Chinapirom, T.; Kaulmann, T.; Witkowski, U.; Rückert, U. (2004): Visual Object Recognition by 2D-Color Camera and On-Board Information Processing for Minirobots. In: Proceedings of the FIRA Robot World Congress. Busan, South Korea.
    PUB
     
  • [149]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730
    Kalte, H.; Koester, M.; Kettelhoit, B.; Porrmann, M.; Rückert, U. (2004): A Comparative Study on System Approaches for Partially Reconfigurable Architectures. In: Toomas Plaks (Hrsg.): Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04). Las Vegas, Nevada, USA: CSREA Press. S. 70-76.
    PUB
     
  • [148]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742
    Griese, B.; Vonnahme, E.; Porrmann, M.; Rückert, U. (2004): Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures. In: Jürgen Becker (Hrsg.): Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004). Antwerp, Belgium: Springer Berlin Heidelberg. (Lecture notes in computer science, 3203). S. 842-846.
    PUB | DOI
     
  • [147]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146
    Grunewald, M.; Le, D. K.; Kastens, U.; Niemann, J. - C.; Porrmann, M.; Rückert, U.; Slowik, A.; Thies, M. (2004): Network application driven instruction set extensions for embedded processing clusters. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 209-214.
    PUB | DOI
     
  • [146]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700
    Grünewald, M.; Niemann, J. - C.; Porrmann, M.; Rückert, U. (2004): A framework for design space exploration of resource efficient network processing on multiprocessor SoCs. In: Proceedings of the 3rd Workshop on Network Processors & Applications. Madrid, Spain. S. 87-101.
    PUB
     
  • [145]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288750
    Witkowski, U.; Chinapirom, T.; Du, J. L.; Rückert, U.; Manolov, O. (2004): Cooperating autonomous and mobile minirobots in dynamic environments. In: International Federation of Automatic Control – IFAC – DECOM-TT. Bansko, Bulgaria.
    PUB
     
  • [144]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942
    Franzmeier, M.; Pohl, C.; Porrmann, M.; Rückert, U. (2004): Hardware Accelerated Data Analysis. In: IEEE Computer Society. Technical Committee on Parallel Processing; Technische Universität Dresden. Technical Committee on Parallel Processing (Hrsg.): Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on. Los Alamitos, Calif. : IEEE Comput. Soc. S. 309-314.
    PUB | DOI
     
  • [143]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356
    Kalte, H.; Porrmann, M.; Rückert, U. (2004): System-on-programmable-chip approach enabling online fine-grained 1D-placement. In: Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International. IEEE. S. 141.
    PUB | DOI
     
  • [142]
    2004 | Report | PUB-ID: 2285779
    Rückert, U. (2004): Abschlußbericht zum BMBF-Projekt: GigaNet-IC: Netzwerktechnik der nächsten Generation. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [141]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286044
    Loeser, C.; Brinkmann, A.; Rückert, U. (2004): Distributed path selection (DPS) a traffic engineering protocol for IP-networks. In: System Sciences, 2004. Proceedings of the 37th Annual Hawaii International Conference on. IEEE. S. 8.
    PUB | DOI
     
  • [140]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138
    Pohl, C.; Franzmeier, M.; Porrmann, M.; Rückert, U. (2004): gNBX - reconfigurable hardware acceleration of self-organizing maps. In: IEEE Electron Devices Society; School of Information Technology and Electrical Engineering (Hrsg.): Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on. Piscataway, NJ: IEEE. S. 97-104.
    PUB | DOI
     
  • [139]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288617
    Witkowski, U.; Bandyk, M.; Rückert, U. (2003): Long-running Experiments using the Minirobot Khepera with Automatic Charging Station. In: Proc. of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment AMiRE03. Brisbane, Australia. S. 249-252.
    PUB
     
  • [138]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288631
    Iske, B.; Schlößer, S.; Rückert, U. (2003): Resolution Analysis of Infrared Sensor Arrays. In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment (AMiRE). Brisbane, Australia. S. 153-162.
    PUB
     
  • [137]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288667 OA
    Grünewald, M.; Iske, B.; Klahold, J.; Manolov, O.; Orhan, O.; Rückert, U.; Witkowski, U. (2003): Communication Between Khepera Mini Robots For Cooperative Positioning. In: Proceedings of the International Conference Automatics and Informatics’03. Sofia, Bulgaria. (1). S. 95-98.
    PUB | PDF
     
  • [136]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288681 OA
    Manolov, O.; Iske, B.; Noykov, S.; Klahold, J.; Georgiev, G.; Witkowski, U.; Rückert, U. (2003): Gard – An Intelligent System for Distributed Exploration of Landmine Fields Simulated by a Team of Khepera Robots. In: Proceedings of the International Conference Automatics and Informatics’03. Sofia, Bulgaria. (1). S. 199-202.
    PUB | PDF
     
  • [135]
    2003 | Konferenzband | Veröffentlicht | PUB-ID: 2285753
    Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.) (2003): Autonomous Minirobots for Research and Edutainment. AMIRE Int. Conf.
    PUB
     
  • [134]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288645 OA
    Grünewald, M.; Rückert, U. (2003): A Khepera communication module supporting directed power-variable transmission. In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment. Brisbane, Australia. S. 243-244.
    PUB | PDF
     
  • [133]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024
    Bonorden, O.; Bruls, N.; Kastens, U.; Le, D. K.; Heide auf der, F. M.; Niemann, J. - C.; Porrmann, M.; Rückert, U.; Slowik, A.; Thies, M. (2003): A holistic methodology for network processor design. In: Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on. IEEE. S. 583-592.
    PUB | DOI
     
  • [132]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285956
    Grunewald, M.; Niemann, J. - C.; Rückert, U. (2003): A performance evaluation method for optimizing embedded applications. In: System-on-Chip for Real-Time Applications, 2003. Proceedings. The 3rd IEEE International Workshop on. IEEE Comput. Soc. S. 10-15.
    PUB | DOI
     
  • [131]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288625
    Klahold, J.; Jürgens, H.; Rückert, U. (2003): Neural Object Classification Using Ultrasonic Spectrum Analysis. In: Proceedings of the 2nd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE). Brisbane, Australia. S. 219-228.
    PUB
     
  • [130]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288637
    Grünewald, M.; Rückert, U.; Schindelhauer, C.; Volbert, K. (2003): Directed power-variable infrared communication for the mini robot Khepera. In: Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment. Brisbane, Australia. S. 113-122.
    PUB
     
  • [129]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288649 OA
    Brinkmann, A.; Meyer auf der Heide, F.; Salzwedel, K.; Scheideler, C.; Vodisek, M.; Rückert, U. (2003): Storage Management as Means to cope with Exponential Information Growth. In: Proceedings of SSGRR 2003. L'Aquila, Italy.
    PUB | PDF
     
  • [128]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288665
    Rückert, U. (2003): Mediatronics – Things That Communicate And Cooperate. In: Proceedings of the International Conference Automatics and Informatics’03. Sofia, Bulgaria. (1). S. 9-12.
    PUB
     
  • [127]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288661
    Witkowski, U.; Rückert, U. (2003): Development and Incorporation of Elementary Soccer Strategies for the Khepera Mini Robot. In: Proc. of the FIRA Robot World Congress 2003. Vienna, Austria.
    PUB
     
  • [126]
    2003 | Monographie | Veröffentlicht | PUB-ID: 2285644
    Witkowski, U.; Rückert, U. (2003): Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps. KAIST Press.
    PUB
     
  • [125]
    2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324
    Porrmann, M.; Witkowski, U.; Rückert, U. (2003): A Massively Parallel Architecture for Self-Organizing Feature Maps IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations,14:(5): 1110-1121.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [124]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288585
    Witkowski, U.; Rückert, U. (2002): Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps. In: Proceedings of 2002 FIRA Robot World Congress. COEX, Seoul, Korea. S. 463-468.
    PUB
     
  • [123]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288597
    Iske, B.; Löffler, A.; Rückert, U. (2002): A Direction Sensitive Network Based on a Biophysical Neurone Model. In: José R. Dorronsoro (Hrsg.): Artificial Neural Networks- ICANN 2002. Madrid, Spain: Springer-Verlag. (Lecture notes in computer science, 2415). S. 153-159.
    PUB | DOI
     
  • [122]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286112
    Klahold, J.; Rautenberg, J.; Rückert, U. (2002): Continuous sonar sensing for mobile mini-robots. In: Robotics and Automation, 2002. Proceedings. ICRA '02. IEEE International Conference on. Piscataway, NJ: IEEE. (1). S. 323-328.
    PUB | DOI
     
  • [121]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286093
    Iske, B.; Jager, B.; Rückert, U. (2002): A ray-tracing approach for simulating recognition abilities of active infrared sensor arrays. In: Sensors Council (Hrsg.): Sensors, 2002. Proceedings of IEEE. Piscataway, NJ: IEEE. (2). S. 1227-1232.
    PUB | DOI
     
  • [120]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286322
    Kalte, H.; Langen, D.; Vonnahme, E.; Brinkmann, A.; Rückert, U. (2002): Dynamically reconfigurable system-on-programmable-chip. In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc. S. 235-242.
    PUB | DOI
     
  • [119]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575
    Kalte, H.; Porrmann, M.; Rückert, U. (2002): A Prototyping Platform for Dynamically Reconfigurable System on Chip Designs. In: Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany.
    PUB
     
  • [118]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145340
    Heittmann, A.; Rückert, U. (2002): Mixed Mode VLSI Implementation of a Neural Associative Memory Analog Integrated Circuits and Signal Processing,30: 159-172.
    PUB | DOI
     
  • [117]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288581
    Rückert, U.; Schmidt, M. (2002): Neural Associative Memory For Content-Based Information Retrieval. In: Proceedings of the First International Conference on Intelligent Computing and Information Systems, ICICIS. Cairo, Egypt. S. 2-32.
    PUB
     
  • [116]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603 OA
    Porrmann, M.; Franzmeier, M.; Kalte, H.; Witkowski, U.; Rückert, U. (2002): A Reconfigurable SOM Hardware Accelerator. 10th European Symposium on Artificial Neural Networks. S. 337-342.
    PUB | PDF
     
  • [115]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286057
    Langen, D.; Rückert, U. (2002): Extending scaling theory by adequately considering velocity saturation. In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE. S. 145-149.
    PUB | DOI
     
  • [114]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565 OA
    Langen, D.; Niemann, J. - C.; Porrmann, M.; Kalte, H.; Rückert, U. (2002): Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC Implementation. In: Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC). Hamburg, Germany.
    PUB | PDF
     
  • [113]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589
    Porrmann, M.; Witkowski, U.; Kalte, H.; Rückert, U. (2002): Dynamically Reconfigurable Hardware – A New Perspective for Neural Network Implementations. In: Manfred Glesner (Hrsg.): Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002). Montpellier, France: Springer Berlin Heidelberg. (Lecture notes in computer science, 2438). S. 1048-1057.
    PUB | DOI
     
  • [112]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285618
    Rückert, U. (2002): ULSI Architectures for Artificial Neural Networks IEEE Micro,22:(3): 10-19.
    PUB | DOI | WoS
     
  • [111]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285620 OA
    Schäfer, M.; Schönauer, T.; Wolff, C.; Hartmann, G.; Klar, H.; Rückert, U. (2002): Simulation of Spiking Neural Networks – Architectures and Implementations Neurocomputing,48:(1-4): 647-679.
    PUB | PDF
     
  • [110]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896
    Porrmann, M.; Witkowski, U.; Kalte, H.; Rückert, U. (2002): Implementation of artificial neural networks on a reconfigurable hardware accelerator. In: Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on. IEEE Comput. Soc. S. 243-250.
    PUB | DOI
     
  • [109]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966
    Brinkmann, A.; Niemann, J. - C.; Hehemann, I.; Langen, D.; Porrmann, M.; Rückert, U. (2002): On-chip interconnects for next generation system-on-chips. In: ASIC/SOC Conference, 2002. 15th Annual IEEE International. IEEE. S. 211-215.
    PUB | DOI
     
  • [108]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288504 OA
    Klahold, J.; Rautenberg, J.; Rückert, U. (2001): Ultrasonic Sensor for Mobile Mini-Robots Using Pseudo-Random Codes. In: Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.): Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Heinz Nixdorf Institut, Universität Paderborn. (97). S. 225-232.
    PUB | PDF
     
  • [107]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288467
    Iske, B.; Rückert, U. (2001): Performance Analysis of a Colony of Locally Communicating Robots. In: Autonomous Mini Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium. S. 253-260.
    PUB
     
  • [106]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549
    Porrmann, M.; Rüping, S.; Rückert, U. (2001): The Impact of Communication on Hardware Accelerators for Neural Networks. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI). Orlando, Florida, USA. (3). S. 248-253.
    PUB
     
  • [105]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288492
    Klahold, J.; Rautenberg, J.; Rückert, U. (2001): Demonstration of an Ultrasonic Sensor for Mobile Minirobots Using Pseudo-Random Codes. In: Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.): Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Heinz Nixdorf Institut, Universität Paderborn. (97). S. 335-336.
    PUB
     
  • [104]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555 OA
    Niemann, J. - C.; Witkowski, U.; Porrmann, M.; Rückert, U. (2001): Extension Module for Application-Specific Hardware on the Minirobot Khepera. In: Autonomous Minirobots for Research and Edutainment (AMiRE 2001). Paderborn, Germany. S. 279-288.
    PUB | PDF
     
  • [103]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288451
    Witkowski, U.; Heittmann, A.; Rückert, U. (2001): Hardware Implementation of Self-Organizing Maps and Associative Memory on the Minirobot Khepera. In: Autonomous Minirobots for Research and Edutainment – AMiRE 2001. Paderborn, Germany. S. 269-278.
    PUB
     
  • [102]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288463
    Iske, B.; Rückert, U. (2001): Cooperative Cube Clustering using Local Communication. In: Autonomous Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium. S. 333-334.
    PUB
     
  • [101]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288475 OA
    Löffler, A.; Klahold, J.; Rückert, U. (2001): The Mini-Robot Khepera as a Foraging Animate: Synthesis and Analysis of Behaviour. In: Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.): Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01). Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn. (97). S. 93-130.
    PUB | PDF
     
  • [100]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526
    Porrmann, M.; Rückert, U.; Landmann, J.; Marks, K. M. (2001): XipChip – A Multiprocessor CPU for Multifunction Peripherals. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI). Orlando, Florida, USA. (15). S. 512-517.
    PUB
     
  • [99]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288457
    Hunstock, R.; Rückert, U.; Hanna, T. (2001): Implementation and Analysis of Mobile Agents in a Simulation Environment for Fieldbus Systems. In: Proccedings of the 2001 International Conference on Intelligent Agent Technology (IAT-01). Maebashi, Japan. S. 484-489.
    PUB | Download (ext.)
     
  • [98]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539
    Porrmann, M.; Kalte, H.; Witkowski, U.; Niemann, J. - C.; Rückert, U. (2001): A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature Maps. In: Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001. Orlando, Florida, USA. (3). S. 242-247.
    PUB
     
  • [97]
    2001 | Konferenzband | Veröffentlicht | PUB-ID: 2285736
    Ulrich Rückert; Joaquin Sitte; Ulf Witkowski (Hrsg.) (2001): Autonomous Minirobots for Research and Edutainment. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [96]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285818
    Iske, B.; Rückert, U. (2001): A methodology for behaviour design of autonomous systems. In: Intelligent Robots and Systems, 2001. Proceedings. 2001 IEEE/RSJ International Conference on. Piscataway, NJ: IEEE. (1). S. 539-544.
    PUB | DOI
     
  • [95]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285832
    Rückert, U. (2001): ULSI architectures for artificial neural networks. In: Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on. Institute of Electrical and Electronics Engineers (IEEE). (22). S. 436-442.
    PUB | DOI | WoS
     
  • [94]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285870
    Schmidt, M.; Rückert, U. (2001): Content-based information retrieval using an embedded neural associative memory. In: Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on. IEEE Comput. Soc. S. 443-450.
    PUB | DOI
     
  • [93]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286162
    Vonnahme, E.; Ruping, S.; Rückert, U. (2000): Measurements in switched Ethernet networks used for automation systems. In: Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on. IEEE. S. 231-238.
    PUB | DOI
     
  • [92]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286256
    Iske, B.; Rückert, U.; Malmstrom, K.; Sitte, J. (2000): A bootstrapping method for autonomous and in site learning of generic navigation behaviour. In: Pattern Recognition, 2000. Proceedings. 15th International Conference on. IEEE Comput. Soc. (4). S. 656-659.
    PUB | DOI
     
  • [91]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566
    Kalte, H.; Porrmann, M.; Rückert, U. (2000): Rapid Prototyping System für dynamisch rekonfigurierbare Hardwarestrukturen. In: Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000). Karlsruhe, Germany. S. 149-157.
    PUB
     
  • [90]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286578
    Brinkmann, A.; Langen, D.; Rückert, U. (2000): A Rapid Prototyping Environment for Microprocessor based System-on-Chips and its Application to the Development of a Network Processor. In: Proceedings of the 10th International Conference on Field Programmable Logic and Applications (FPL 2000). Villach, Austria: Springer Berlin Heidelberg. S. 838-841.
    PUB | DOI
     
  • [89]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285890
    Hunstock, R.; Ruping, S.; Rückert, U. (2000): A distributed simulator for large networks used in building automation systems. In: Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on. IEEE. S. 203-210.
    PUB | DOI
     
  • [88]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286590 OA
    Brinkmann, A.; Langen, D.; Rückert, U. (2000): Aktive Router: Ein Hardwarekonzept für Storage Area Networks. In: Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik. Darmstadt, Germany. S. 41-46.
    PUB | PDF
     
  • [87]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286370
    Langen, D.; Brinkmann, A.; Rückert, U. (2000): High level estimation of the area and power consumption of on-chip interconnects. In: ASIC/SOC Conference, 2000. Proceedings. 13th Annual IEEE International. IEEE. S. 297-301.
    PUB | DOI
     
  • [86]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572
    Kalte, H.; Porrmann, M.; Rückert, U. (2000): Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D Graphics. In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000). Monte Carlo Resort, Las Vegas, Nevada, USA. (5). S. 2819-2824.
    PUB
     
  • [85]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286584 OA
    Langen, D.; Brinkmann, A.; Rückert, U. (2000): Abschätzung des Flächen- und Energieverbrauchs von Verbindungsstrukturen auf einem Chip. In: Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik. Darmstadt, Germany. S. 247-252.
    PUB | PDF
     
  • [84]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286554
    Klahold, J.; Löffler, A.; Rückert, U. (1999): Discrete Ultrasonic Sensors for Mobile Autonomous Systems. In: Axel Löffler; Francesco Mondada; Ulrich Rückert (Hrsg.): Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99). Heinz Nixdorf Institut, Universität Paderborn. (64). S. 171-180.
    PUB
     
  • [83]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285828
    Heittmann, A.; Rückert, U. (1999): Mixed mode VLSI implementation of a neural associative memory. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 299-306.
    PUB | DOI
     
  • [82]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315
    Porrmann, M.; Ruping, S.; Rückert, U. (1999): SOM hardware with acceleration module for graphical representation of the learning process. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 380-386.
    PUB | DOI
     
  • [81]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286512
    Witkowski, U.; Heittmann, A.; Rückert, U. (1999): Implementation of Application Specific Neural Hardware on the Mini Robot Khepera. In: Proceedings of the 1st International Khepera Workshop. Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn. (64). S. 189-196.
    PUB
     
  • [80]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286127
    Witkowski, U.; Neumann, T.; Rückert, U. (1999): Digital hardware realization of a hyper basis function network for on-line learning. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 205-211.
    PUB | DOI
     
  • [79]
    1999 | Report | PUB-ID: 2285763
    Grotstollen, H.; Schütte, F.; Rückert, U.; Witkowski, U. (1999): Lernfähige, selbsteinstellende Antriebsregelung mit Hilfe neuronaler Hardware.
    PUB
     
  • [78]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285822
    Korner, T.; Sitte, J.; Rückert, U. (1999): An analog local cluster neural net for a 3 V supply. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 292-298.
    PUB | DOI
     
  • [77]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285834
    Wolff, C.; Hartmann, G.; Rückert, U. (1999): ParSPIKE-a parallel DSP-accelerator for dynamic simulation of large spiking neural networks. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 324-331.
    PUB | DOI
     
  • [76]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286344
    Loffler, A.; Klahold, J.; Rückert, U. (1999): Artificial neural networks for autonomous robot control: reflective navigation and adaptive sensor calibration. In: Neural Information Processing, 1999. Proceedings. ICONIP '99. 6th International Conference on. IEEE. (2). S. 667-672.
    PUB | DOI
     
  • [75]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286546
    Löffler, A.; Klahold, J.; Hußmann, M.; Rückert, U. (1999): Demonstration of a Visualization Tool for the Mini-Robot Khepera. In: Web publication of the 5th International European Conference on Artificial Life (ECAL). Lausanne, Switzerland.
    PUB
     
  • [74]
    1999 | Konferenzband | Veröffentlicht | PUB-ID: 2285604
    Axel Löffler; Francesco Mondada; Ulrich Rückert (Hrsg.) (1999): Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera. Heinz Nixdorf Institut, Universität Paderborn.
    PUB
     
  • [73]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285983
    Loffler, A.; Klahold, J.; Heittmann, A.; Witkowski, U.; Rückert, U. (1999): Implementing Neural Soft- And Hardware On The Autonomous Mini-robot Khepera. In: Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on. IEEE Comput. Soc. S. 425-426.
    PUB | DOI
     
  • [72]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286518
    Rüping, S.; Löffler, A.; Odenbach, C.; Rückert, U. (1999): Khepera Module for Wireless Infrared CAN Communication. In: Axel Löffler; Francesco Mondada; Ulrich Rückert (Hrsg.): Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99). Paderborn, Germany. (64). S. 181-187.
    PUB
     
  • [71]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286532 OA
    Löffler, A.; Klahold, J.; Hußmann, M.; Rückert, U. (1999): A Visualization Tool for the Mini-Robot Khepera: Behaviour Analysis and Optimization. In: Dario Floreano; Jean-Daniel Nicoud; Francesco Mondada (Hrsg.): Proceedings of the 5th International European Conference on Artificial Life (ECAL99). Lausanne, Switzerland: Springer-Verlag. (1674). S. 329-333.
    PUB | PDF
     
  • [70]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468
    Porrmann, M.; Heittmann, A.; Rüping, S.; Rückert, U. (1998): A Hybrid Knowledge Processing System. In: Proceedings of the Conference Neural Networks and their Applications (NEURAP). Marseille, France. S. 177-184.
    PUB
     
  • [69]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286502
    Rückert, U.; Witkowski, U. (1998): Silicon Artificial Neural Networks. In: L. Niklasson; M. Bodén; T. Ziemke (Hrsg.): Proceedings of the Conference on Artificial Neural Networks, ICANN´98. Skövde, Sweden: Springer-Verlag. S. 75-84.
    PUB
     
  • [68]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285592
    Rüping, S.; Porrmann, M.; Rückert, U. (1998): SOM Accelerator System Neurocomputing,21: 31-50.
    PUB | Download (ext.)
     
  • [67]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285586
    Rückert, U. (1998): Hardware-Realisierung neuronaler Netze. In: Oswald Haan (Hrsg.): Göttinger Informatik Kolloquium – Vorträge aus den Jahren 1996/97. Göttingen, Germany. S. 37-54.
    PUB
     
  • [66]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285598
    Sitte, J.; Körner, T.; Rückert, U. (1998): Local Cluster Neural Net: Analog VLSI Design Neurocomputing,19: 185-197.
    PUB
     
  • [65]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286476
    Fuchs, B.; Vogel, S.; Schröder, D.; Paul, R.; Rückert, U. (1998): Autonome EKG-Elektrode zur kabellosen Patientenüberwachung. In: Mikroelektronik für die Informationstechnik. Hannover, Germany. S. 137-140.
    PUB
     
  • [64]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286455
    Rüping, S.; Lücking, W.; Rückert, U. (1998): A Wireless Communication System for Khepera Micro-Robots using CAN. In: Proceedings of the European Telemetry Conference (ETC98). Garmisch-Partenkirchen,Germany. S. 100-108.
    PUB
     
  • [63]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286486 OA
    Löffler, A.; Klahold, J.; Rückert, U. (1998): The Dynamical Nightwatch's Problem Solved by the Autonomous Micro-Robot Khepera. In: Jin-Kao Hao; Evelyne Lutton; Edmund Ronald; Marc Schoenauer; Dominique Snyers (Hrsg.): Selected Papers of the 3rd European Conference on Artificial Evolution (AE97). Nimes, France: Springer-Verlag. (1363). S. 303-313.
    PUB | PDF
     
  • [62]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286390
    Schütte, F.; Beineke, S.; Grotstollen, H.; Witkowski, U.; Rückert, U.; Rüping, S. (1997): Structure- and Parameter Identification for a Two-Mass-System With Backlash and Friction Using a Self-Organizing Map. In: 7th European Conference on Power Electronics and Applications, EPE97. Trondheim, Norway. (3). S. 3358-3363.
    PUB
     
  • [61]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286408
    Körner, T.; Hartmann, T.; Rückert, U.; Sitte, J. (1997): An Analog Current Mode VLSI Local Cluster Neural Net. In: H. Klar; A. König; Ulrich Ramacher (Hrsg.): Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems. Dresden, Germany: IEEE. S. 257-262.
    PUB | DOI
     
  • [60]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286422
    Körner, T.; Geldreich, S.; Rückert, U.; Kasper, K.; Reininger, H.; Wüst, H. (1997): Implementation of a Locally Recurrent Neural Network for Speech Recognition. In: H. Klar; A. König; Ulrich Ramacher (Hrsg.): Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems. Dresden, Germany. S. 50-55.
    PUB
     
  • [59]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286441
    Heittmann, A.; Malin, J.; Pintaske, C.; Rückert, U. (1997): Digital VLSI Implementation of a Neural Associative Memory. In: H. Klar; A. König; Ulrich Ramacher (Hrsg.): Proceedings of the 6th International Conference on Microelectronics for Neural Network, Evolutionary and Fuzzy Systems. Dresden, Germany. S. 280-285.
    PUB
     
  • [58]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286012
    Witkosski, U.; Ruping, S.; Rückert, U.; Schutte, F.; Beineke, S.; Grotstollen, H. (1997): System identification using selforganizing feature maps. In: Artificial Neural Networks, Fifth International Conference on (Conf. Publ. No. 440). IEE. S. 100-105.
    PUB | DOI
     
  • [57]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384 OA
    Rüping, S.; Porrmann, M.; Rückert, U. (1997): A High Performance SOFM Hardware-System. In: Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97). Lanzarote, Spain. S. 772-781.
    PUB | PDF | Download (ext.)
     
  • [56]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285884
    Sitte, J.; Korner, T.; Rückert, U. (1997): An analog-current mode local cluster neural net. In: Emerging Technologies and Factory Automation Proceedings, 1997. ETFA '97., 1997 6th International Conference on. IEEE. S. 237-242.
    PUB | DOI
     
  • [55]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402 OA
    Rüping, S.; Porrmann, M.; Rückert, U. (1997): SOM Hardware-Accelerator. In: Workshop on Self-Organizing Maps (WSOM). Espoo, Finnland. S. 136-141.
    PUB | PDF | Download (ext.)
     
  • [54]
    1997 | Report | Veröffentlicht | PUB-ID: 2285584
    Rückert, U. (1997): Integrationsgerechte parallele Systemkonzepte unter Ausnutzung spärlicher Interaktion am Beispiel neuronaler Netze. Heinz Nixdorf Institut.
    PUB
     
  • [53]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241
    Porrmann, M.; Landmann, J.; Marks, K. M.; Rückert, U. (1997): HIBRIC-MEM, a Memory Controller for PowerPC Based Systems. In: Proceedings of the 23rd EUROMICRO Conference. Budapest, Ungarn: IEEE Comput. Soc. S. 653-663.
    PUB | DOI
     
  • [52]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285568
    Rückert, U. (1996): Hardwareimplementierung Neuronaler Netze. In: Konnektionismus und Neuronale Netze Beiträge zur Herbstschule (HeKoNN96). S. 53-64.
    PUB
     
  • [51]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285570
    Rüping, S.; Rückert, U. (1996): A Scalable Processor Array for Self-Organizing Feature Maps. In: Proceedings of the 6th International Conference on Microelectronics for Neural Networks and Fuzzy Systems (MicroNeuro). Lausanne, Switzerland: IEEE Computer Society Press.
    PUB | DOI
     
  • [50]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575
    Palm, G.; Rückert, U.; Porrmann, M.; Schwenker, F. (1996): Neuronale Assoziativspeicher. In: Neuroinformatik Statusseminar. S. 419-432.
    PUB
     
  • [49]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285554
    Hartung, M.; Goser, K.; Rückert, U. (1996): The associative matrix as a concept for intelligent memory chips. In: Proceedings of the Conference on Neural Networks and their Applications (NEURAP). Marseilles, France. S. 111-116.
    PUB
     
  • [48]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285560
    Rüping, S.; Rückert, U.; Goser, K.; Hartung, M. (1996): Diagnosis-Systems with Selforganizing Feature Maps and Fuzzy-Logic. In: Proceedings of the Conference on Neural Networks and their Applications (NEURAP). Marseilles, France. S. 251-258.
    PUB
     
  • [47]
    1995 | Report | Veröffentlicht | PUB-ID: 2285532
    Rückert, U.; Goser, K. (1995): Wissensverarbeitung in neuronaler Architektur. Universität Dortmund und Universität Paderborn.
    PUB
     
  • [46]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285538
    Körner, T.; Rückert, U.; Geva, S.; Malmstrom, K.; Sitte, J. (1995): VLSI friendly neural network with localied transfer functions. In: Proceedings of the IEEE International Conference on Neural Networks. Perth, Australia. (1). S. 169-174.
    PUB
     
  • [45]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285536
    Rückert, U. (1995): Hardwareimplementierung Neuronaler Netze. In: Konnektionismus und Neuronale Netze. S. 147-158.
    PUB
     
  • [44]
    1995 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285548
    Rüping, S.; Goser, K.; Rückert, U. (1995): A Chip for Selforganizing Feature Maps IEEE Micro,15:(3): 57-59.
    PUB | DOI
     
  • [43]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285498
    Rüping, S.; Rückert, U.; Goser, K. (1994): A Chip for Selforganizing Feature Maps. In: Proceedings of the 4th International Conference on Microelectronics for Neural Networks and Fuzzy Systems. IEEE Computer Society Press. S. 26-33.
    PUB | DOI
     
  • [42]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285507
    Rückert, U. (1994): Hardwareimplementierung Neuronaler Netze. In: Konnektionismus und Neuronale Netze. S. 117-128.
    PUB
     
  • [41]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285521 OA
    Rückert, U.; Rüping, S.; Naroska, E. (1994): Parallel Implementation of Neural Associative Memories on RISC Processors. In: J.G. Delgado-Frias; W.R. Moore (Hrsg.): VLSI for Neural Networks and Artificial Intelligence. New York: Plenum Press. S. 167-176.
    PUB | PDF
     
  • [40]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285504
    Rückert, U. (1994): A Hybrid Knowledge Processing Architecture. In: Proceedings of the 2nd IEE International Conference on Intelligent Systems Engineering. Norwich, UK: IEE. S. 372-377.
    PUB | DOI
     
  • [39]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285509
    Palm, G.; Goser, K.; Rückert, U.; Ultsch, A. (1994): Knowledge Processing in Neural Architecture. In: J.G. Delgado-Frias; W.R. Moore (Hrsg.): VLSI for Neural Networks and Artificial Intelligence. New York: Plenum Press. S. 207-216.
    PUB
     
  • [38]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285462
    Rückert, U. (1993): Microelectronic Implementation of Neural Networks. In: Aachener Beiträge zur Informatik. (3). S. 77-86.
    PUB
     
  • [37]
    1993 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285486
    Rückert, U.; Funke, A.; Pintaske, C. (1993): Acceleratorboard for Neural Associative Memories Neurocomputing,5: 39-49.
    PUB | Download (ext.)
     
  • [36]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285478
    Rückert, U.; Spaanenburg, L.; Anlauf, J. (1993): Hardware-Implementierung Künstlicher Neuronaler Netze. In: atp – Automatisierungstechnische Praxis. S. 414-420.
    PUB
     
  • [35]
    1993 | Patent | Veröffentlicht | PUB-ID: 2285492
    Marks, K. M.; Rückert, U.; Staudt von, H. - M. (1993): Verfahren und Einrichtung zur fehlercodierenden Datenübertragung
    PUB
     
  • [34]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285464 OA
    Rüping, S.; Rückert, U.; Goser, K. (1993): Hardware Design for Selforganizing Feature Maps with Binary Inputs. In: J. Mira; J. Cabestany; A. Prieto (Hrsg.): New Trends in Neural Computation. Berlin, Germany: Springer-Verlag. (686). S. 488-493.
    PUB | PDF | Download (ext.)
     
  • [33]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285425
    Rückert, U.; Goser, K. (1992): VLSI-Bausteine für neuronale Assoziativ-speicher mit Echtzeit-Anwendungen. In: Mikroelektronik für die Informationstechnik. Berlin, Germany: VDE-Verlag. S. 189-194.
    PUB
     
  • [32]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285432
    Rückert, U.; Heimann, D.; Kreuzer, I.; Mostardt, M.; Pintaske, C.; Rüping, S.; Surmann, H.; Tryba, V.; Ungering, A. (1992): Mikroelektronik der künstlichen neuronalen Netze und der Fuzzy-Systeme. In: 20 Jahre Fakultät für Elektrotechnik. Universität Dortmund, Germany. S. 71-79.
    PUB
     
  • [31]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285316
    Schulz, P.; Rückert, U. (1991): Implementierung Neuronaler Assoziativ-speicher auf Transputer-Netzwerken. In: TOOL91/ RISC91. Karlsruhe, Germany. S. 745-756.
    PUB
     
  • [30]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285335
    Rückert, U.; Czaicki, B.; Heimann, D. (1991): Distributed Simulation of Co-operating Neural Networks a Local Area Computer Network. In: Ulrich Ramacher (Hrsg.): Microelectronics for Neural Networks. München, Germany: Kyrill & Method Verlag. S. 417-422.
    PUB
     
  • [29]
    1991 | Monographie | Veröffentlicht | PUB-ID: 2280937
    Ramacher, U.; Rückert, U. (1991): VLSI Design of Neural Networks. Boston: Kluwer Academic Publishers.
    PUB
     
  • [28]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285343
    Palm, G.; Rückert, U.; Ultsch, A. (1991): Wissensverarbeitung in neuronaler Architektur. In: W. Brauer; D. Hernandez (Hrsg.): Verteilte Künstliche Intelligenz und kooperatives Arbeiten. Berlin, Germany: Springer-Verlag. S. 508-518.
    PUB | Download (ext.)
     
  • [27]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285398
    Goser, K.; Hilleringmann, U.; Rückert, U. (1991): Applications and Implementations of Neural Networks in Microelectronics – Overview and Status. In: V.A. Monaco; R. Negrini (Hrsg.): Advanced Computer Technology, Reliable Sytems and Applications. Bologna: IEEE Computer Society Press. S. 531-536.
    PUB | DOI
     
  • [26]
    1991 | Konferenzband | Veröffentlicht | PUB-ID: 2285456
    Ulrich Ramacher; Ulrich Rückert; J.A. Nossek (Hrsg.) (1991): Proceedings 2nd International Conference on Microelectronics for Neural Networks. München, Germany: Kyrill & Method Verlag.
    PUB
     
  • [25]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285299
    Rückert, U.; Kleerbaum, C.; Goser, K. (1991): Digital VLSI Implementation of an Associative Memory Based on Neural Networks. In: J.G. Delgado-Frias; W.R. Moore (Hrsg.): VLSI for Artificial Intelligence and Neural Networks. New York, USA: Plenum Press. S. 785-794.
    PUB
     
  • [24]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285390
    Rückert, U.; Surmann, H. (1991): Tolerance of a Binary Associative Memory Towards STUCK-AT-FAULTS. In: Teuvo Kohonen (Hrsg.): Artificial Neural Networks. Amsterdam, North-Holland. (2). S. 1195-1198.
    PUB
     
  • [23]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285408
    Soennecken, A.; Hilleringmann, U.; Rückert, U.; Goser, K. (1991): Analogwertspeicher mit EAROM-Zellen für Neuronale Netze. In: A. Kaesser (Hrsg.): Tagungsband des 5. E.I.S.-Workshops. Dresden, Germany. S. 371-373.
    PUB
     
  • [22]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285327 OA
    Surmann, H.; Kiziloglu, B.; Rückert, U.; Goser, K. (1991): Neural Networks for Defect Recognition on Masks and Integrated Circuits: First Result. In: Proceedings of Neuro-Nimes: Neural Networks and their Applications. S. 581-591.
    PUB | PDF | Download (ext.)
     
  • [21]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285192
    Rückert, U. (1991): VLSI Design of an Associative Memory based on Distributed Storage of Information. In: Ulrich Ramacher; Ulrich Rückert (Hrsg.): VLSI Design of Neural Networks. Boston, USA: Kluwer Academic Publishers. S. 153-168.
    PUB
     
  • [20]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285370
    Goser, K.; Ungering, A.; Surmann, H.; Rückert, U.; Schumacher, K. (1991): Hardware für Fuzzy-Controller. In: Tagungsband des 1. Dortmunder Fuzzy Tag. Dosis GmbH Dortmund, Germany.
    PUB
     
  • [19]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285419
    Rückert, U. (1991): An Associative Memory with Neural Architecture and its VLSI Implementation. In: V. Milutinovic; B.D. Shriver (Hrsg.): Proceedings of the Twenty-Fourth Annual Hawaii International Conference on System Sciences. Los Alamitos: IEEE Computer Society Press. (1). S. 212-218.
    PUB | DOI
     
  • [18]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285171
    Rückert, U.; Goser, K.; Ramacher, U. (1990): Hybrid VLSI Implementation of an Associative Memory Based on Distributed Storage of Information. In: Karl Goser; Ulrich Ramacher; Ulrich Rückert (Hrsg.): Proceedings of the 1st International Workshop on Microelectronics for Nerual Networks. University Dortmund, Germany. S. 196-203.
    PUB
     
  • [17]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285188
    Goser, K.; Rückert, U. (1990): Mikroelektronik neuronaler Netze. In: ITG-Fachbericht. Berlin, Germany: VDE-Verlag. S. 189-190.
    PUB
     
  • [16]
    1990 | Konferenzband | Veröffentlicht | PUB-ID: 2285450
    Karl Goser; Ulrich Ramacher; Ulrich Rückert (Hrsg.) (1990): Proceedings of the 1st International Workshop on Microelectronics for Neural Networks. Dortmund, Germany.
    PUB
     
  • [15]
    1990 | Monographie | Veröffentlicht | PUB-ID: 2280936
    Rückert, U. (1990): Integrationsgerechte Umsetzung von assoziativen Netzwerken mit verteilter Speicherung. Düsseldorf, Germany: VDI Verlag.
    PUB | Download (ext.)
     
  • [14]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285182
    Rückert, U. (1990): VLSI Implementation of an Associative Memory Based on Distributed Storage of Information. In: L.B. Almeida; C.J. Wellekens (Hrsg.): Neural Networks. Berlin, Germany: Springer-Verlag. (412). S. 267-276.
    PUB | DOI
     
  • [13]
    1990 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280954
    Goser, K.; Kreuzer, I.; Rückert, U.; Tryby, V. (1990): Chip-Architecturen für künstliche neuronale Netze Mikroelektronik,5: 208-211.
    PUB
     
  • [12]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281030
    Rückert, U.; Goser, K. (1989): Ein digital/analoges Assoziativspeicherkonzept basierend auf neuronalen Strukturen. In: GMD-Studie zum 4. E.I.S.-Workshops. S. 201-210.
    PUB
     
  • [11]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281064
    Goser, K.; Marks, K. M.; Rückert, U. (1989): Selbstorganisierende Parameterkarten zur Prozeßüberwachung und -voraussage. In: 3. Internationaler GI Kongress: Wissensbasierte Systeme, Informatik-Fachberichte. München, Germany: Springer-Verlag. S. 225-237.
    PUB
     
  • [10]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281072
    Rückert, U.; Kreuzer, I.; Tryba, V. (1989): Fault-Tolerance Of Associative Memories Based On Neural Networks. In: Proceedings of the International Conference on Computer Technology, Systems and Applications. Hamburg, Germany: IEEE Comput. Soc. Press. S. 1.52-1.55.
    PUB | DOI
     
  • [9]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281084
    Rückert, U.; Goser, K. (1989): VLSI-Design of Associative Network. In: J.G. Delgado-Frias; W.R. Moore (Hrsg.): VLSI for Artificial Intelligence. Boston: Kluwer Academic Publishers. S. 227-235.
    PUB
     
  • [8]
    1989 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280945
    Goser, K.; Hilleringmann, U.; Rückert, U.; Schumacher, K. (1989): VLSI Technologies for Artificial Neural Networks IEEE Micro,9:(6): 28-44.
    PUB | DOI | WoS
     
  • [7]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281009
    Tryba, V.; Marks, K. M.; Rückert, U.; Goser, K. (1988): Selbstorganisierende Karten als lernende klassifizierende Speicher. In: ITG-Fachbericht. Berlin, Germany: VDE-Verlag. S. 407-419.
    PUB
     
  • [6]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281022
    Rückert, U.; Goser, K. (1988): VLSI-Architectures for Associative Networks. In: Proceedings of the IEEE International Symposium on Circuits and Systems. Helsinki, Finland: IEEE. (1). S. 755-758.
    PUB | DOI
     
  • [5]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281048
    Rückert, U.; Goser, K. (1987): Adaptive Associative Systems For VLSI. In: Jörg D. Becker; Ignaz Eisele (Hrsg.): WOPPLOT 86 Parallel Processing: Logic, Organization, and Technology Proceedings of a Workshop Neubiberg, Federal Republic of Germany, July 2–4, 1986. Berlin, Heidelberg: Springer. (Lecture Notes in Computer Science, 253). S. 166-184.
    PUB | DOI
     
  • [4]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280973
    Rückert, U.; Kreuzer, I.; Goser, K. (1987): A VLSI Concept For An Asso-ciative Matrix Based On Neural Networks. In: W.E. Proebster; H. Reiner (Hrsg.): VLSI and Computer. Washington, USA: Computer Society Press. S. 31-34.
    PUB
     
  • [3]
    1986 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280942
    Goser, K.; Rückert, U. (1986): Künstliche Intelligenz - eine Herausforderung an die Großintegrationstechnik Nachrichtentechnische Zeitschrift,11: 748-752.
    PUB
     
  • [2]
    1985 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280900
    Goser, K.; Rückert, U. (1985): Intelligent VLSI-Memories For Robotics. In: COGNITIVA 85 : De l' intelligence artificielle aux biosciences; Actes du Colloque Scientifique. Paris, France: CESTA. S. 425-430.
    PUB
     
  • [1]
    1984 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280870
    Goser, K.; Fölster, C.; Rückert, U. (1984): Intelligent Memories in VLSI Information Sciences,34:(1): 61-82.
    PUB | DOI | WoS
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung