419 Publikationen

Alle markieren

  • [419]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2969731 OA
    T. Schöpping, et al., “µRT: A lightweight real-time middleware with integrated validation of timing constraints”, Frontiers in Robotics and AI, vol. 10, 2023, pp. 23.
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [418]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982807 OA
    S. Ullah, et al., “Exploring spiking neural networks: a comprehensive analysis of mathematical models and applications”, Frontiers in Computational Neuroscience, vol. 17, 2023.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [417]
    2023 | Konferenzbeitrag | Angenommen | PUB-ID: 2985188
    S. Ullah, et al., “A Novel Spike Vision Approach for Robust Multi-Object Detection using SNNs”, Presented at the Novel Trends in Data Science 2023, Congressi Stefano Franscini at Monte Verità in Ticino, Switzerland, Accepted.
    PUB | DOI | Download (ext.) | Preprint
     
  • [416]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2984934
    K. Penner, et al., “TinyML optimization for activity classification on the resource-constrained body sensor BI-Vital”, 2023 IEEE 19th International Conference on Body Sensor Networks (BSN), IEEE, 2023, pp.1-4.
    PUB | DOI | Download (ext.)
     
  • [415]
    2023 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2969032 OA
    C. Lian Sang, et al., “Bidirectional UWB Localization: A Review on an Elastic Positioning Scheme for GNSS-deprived Zones”, IEEE Journal of Indoor and Seamless Positioning and Navigation, 2023, pp. 26.
    PUB | PDF | DOI | Download (ext.) | arXiv
     
  • [414]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2982608
    B. Steinhagen, et al., “Evaluation of the Usage of Edge Computing and LoRa for the Control of Electric Vehicle Charging in the Low Voltage Grid”, 2023 IEEE PES Conference on Innovative Smart Grid Technologies - Middle East (ISGT Middle East). proceedings, Piscataway, NJ: IEEE, 2023, pp.1-5.
    PUB | DOI
     
  • [413]
    2023 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2983888
    M. Adams, et al., “Expected Goals Prediction in Professional Handball using Synchronized Event and Positional Data”, Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports, New York, NY, USA: ACM, 2023, pp.83-91.
    PUB | DOI
     
  • [412]
    2023 | Konferenzbeitrag | PUB-ID: 2983660
    S. Ullah, et al., “Transforming Event-Based into Spike-Rate Datasets for Enhancing Neuronal Behavior Simulation to Bridging the Gap for SNNs”, Presented at the International Conference on Computer Vision (ICCV) 2023, Paris France, Paris France : Published, 2023.
    PUB | DOI
     
  • [411]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2983268
    M. Kortekamp, et al., “A Scalable Binary Neural Associative Memory on FPGA”, Advances in Computational Intelligence. 17th International Work-Conference on Artificial Neural Networks, IWANN 2023, Ponta Delgada, Portugal, June 19–21, 2023, Proceedings, Part I, I. Rojas, G. Joya, and A. Catala, eds., Lecture Notes in Computer Science, Cham: Springer Nature Switzerland, 2023, pp.381-393.
    PUB | DOI
     
  • [410]
    2023 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2982808
    S. Ullah, et al., “Evaluation of Spiking Neural Nets-Based Image Classification Using the Runtime Simulator RAVSim”, International Journal of Neural Systems, vol. 33, 2023, : 2350044.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [409]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982810
    S. Ullah, et al., “Evaluating Spiking Neural Network Models: A Comparative Performance Analysis”, Bielefeld : Datatninja Spring School 2023, 2023.
    PUB | DOI
     
  • [408]
    2023 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982811
    S. Ullah, et al., “Design-Space Exploration of SNN Models using Application-Specific Multi-Core Architectures”, University of Texas at San Antonio: Neuro-Inspired Computing Elements (NICE 2023), 2023.
    PUB | DOI
     
  • [407]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2982809
    S. Ullah, et al., “Streamlined Training of GCN for Node Classification with Automatic Loss Function and Optimizer Selection”, Engineering Applications of Neural Networks. 24th International Conference, EAAAI/EANN 2023, León, Spain, June 14–17, 2023, Proceedings, L. Iliadis, et al., eds., Communications in Computer and Information Science, Cham: Springer Nature Switzerland, 2023, pp.191-202.
    PUB | DOI
     
  • [406]
    2023 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979269
    S. Pilz, et al., “Who Will Own Our Global Digital Twin: The Power of Genetic and Biographic Information to Shape Our Lives”, The Digital Twin of Humans. An Interdisciplinary Concept of Digital Working Environments in Industry 4.0, I. Gräßler, et al., eds., Cham: Springer International Publishing, 2023, pp.11-35.
    PUB | DOI
     
  • [405]
    2022 | Kurzbeitrag Konferenz / Poster | PUB-ID: 2982814
    S. Ullah, et al., “Real-Time Resource Efficient Simulator for SNNs-based Model Experimentation”, Bielefeld : Datatninja Spring School 2022, 2022.
    PUB | DOI
     
  • [404]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2979461
    S. Ullah, et al., “SNNs Model Analyzing and Visualizing Experimentation Using RAVSim”, Engineering Applications of Neural Networks. 23rd International Conference, EAAAI/EANN 2022, Chersonissos, Crete, Greece, June 17–20, 2022, Proceedings, L. Iliadis, et al., eds., Communications in Computer and Information Science, Cham: Springer International Publishing, 2022, pp.40-51.
    PUB | DOI | Download (ext.)
     
  • [403]
    2022 | Preprint | PUB-ID: 2982804
    S. Ullah, et al., “NireHApS: Neuro-Inspired and Resource-Efficient Hardware-Architectures for Plastic SNNs”, 2022.
    PUB | DOI
     
  • [402]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2966552
    C. Klarhorst, et al., “ML4ProFlow: A Framework for Low-Code Data Processing from Edge to Cloud in Industrial Production”, 2022 IEEE 27th International Conference on Emerging Technologies and Factory Automation (ETFA), IEEE, ed., Piscataway, NJ: IEEE, 2022.
    PUB | DOI
     
  • [401]
    2022 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2963591 OA
    C. Ostrau, et al., “Benchmarking Neuromorphic Hardware and Its Energy Expenditure”, Frontiers in Neuroscience, vol. 16, 2022, : 873935.
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [400]
    2022 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2964196
    M. Kaiser, et al., “VEDLIoT: Very Efficient Deep Learning in IoT”, DATE '22: Proceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe, Institut of Electrical and Electronics Engineers (IEEE), ed., Leuven: European Design and Automation Association, 2022, pp.963-968.
    PUB | DOI
     
  • [399]
    2021 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2957481 OA
    F. Porrmann, et al., “Acceleration of the SPADE Method Using a Custom-Tailored FP-Growth Implementation”, Frontiers in Neuroinformatics, vol. 15, 2021, : 723406.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [398]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2942756 OA
    H.G. Meyer, et al., “Resource-efficient bio-inspired visual processing on the hexapod walking robot HECTOR.”, PloS one, vol. 15, 2020.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [397]
    2020 | Datenpublikation | PUB-ID: 2943719 OA
    C. Lian Sang, et al., Supplementary Research Data for the Paper entitled Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods, Bielefeld University, 2020.
    PUB | Dateien verfügbar | DOI
     
  • [396]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2943046 OA
    C. Lian Sang, et al., “Identification of NLOS and Multi-path Conditions in UWB Localization using Machine Learning Methods”, Applied Sciences, vol. 10, 2020, : 3980.
    PUB | PDF | DOI | Download (ext.) | WoS | Preprint
     
  • [395]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2942322
    C. Ostrau, et al., “Benchmarking Deep Spiking Neural Networks on Neuromorphic Hardware”, Artificial Neural Networks and Machine Learning – ICANN 2020, Springer International Publishing, 2020.
    PUB | Dateien verfügbar | DOI | arXiv
     
  • [394]
    2020 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2941831
    C. Ostrau, et al., “Benchmarking of Neuromorphic Hardware Systems”, Neuro-inspired Computational Elements Workshop (NICE ’20), March 17–20, 2020, Heidelberg, Germany, International Conference Proceeding Series (ICPS), Association for Computing Machinery (ACM), 2020.
    PUB | Dateien verfügbar | DOI
     
  • [393]
    2020 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2941646 OA
    S. Pilz, et al., “Accelerating Binary String Comparisons with a Scalable, Streaming-Based System Architecture Based on FPGAs”, Algorithms, vol. 13, 2020, : 47.
    PUB | PDF | DOI | Download (ext.) | WoS
     
  • [392]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2979460
    J. Ax, et al., “Asynchronous network-on-chips (NoCs) for resource efficient many core architectures”, Asynchronous Circuit Applications, J. Di and S.C. Smith, eds., Institution of Engineering and Technology (IET), 2019, pp.173-197.
    PUB | DOI | Download (ext.)
     
  • [391]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937505 OA
    C. Lian Sang, et al., “A Comparative Study of UWB-based True-Range Positioning Algorithms using Experimental Data ”, Presented at the IEEE 16th Workshop on Positioning, Navigation and Communications (WPNC'19), Bremen, Germany, IEEE, 2019.
    PUB | PDF | DOI | Download (ext.)
     
  • [390]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2937493 OA
    C. Lian Sang, et al., “A Bidirectional Object Tracking and Navigation System using a True-Range Multilateration Method”, Presented at the Tenth International Conference on Indoor Positioning and Indoor Navigation (IPIN), Pisa, Italy, IEEE, 2019.
    PUB | PDF | DOI | Download (ext.)
     
  • [389]
    2019 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2937362
    J.D. Homburg, et al., “Constraint Exploration of Convolutional Network Architectures with Neuroevolution”, Advances in Computational Intelligence. IWANN 2019, I. Rojas, G. Joya, and A. Catala, eds., Lecture Notes in Computer Science, vol. 11507, Cham: Springer, 2019, pp.735-746.
    PUB | DOI
     
  • [388]
    2019 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2939486
    M. Adams, et al., “Towards an SSVEP-BCI Controlled Smart Home”, 2019 IEEE International Conference on Systems, Man and Cybernetics (SMC), Piscataway, NJ: IEEE, 2019.
    PUB | DOI
     
  • [387]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2941207
    C. Ostrau, et al., “Comparing Neuromorphic Systems by Solving Sudoku Problems”, Conference Proceedings: 2019 International Conference on High Performance Computing & Simulation (HPCS), Piscataway, NJ: IEEE, Accepted.
    PUB | Dateien verfügbar | DOI
     
  • [386]
    2019 | Kurzbeitrag Konferenz / Poster | Angenommen | PUB-ID: 2935328 OA
    C. Ostrau, et al., “Benchmarking and Characterization of event-based Neuromorphic Hardware”, Presented at the FastPath 2019 - International Workshop on Performance Analysis of Machine Learning Systems, Madison, Wisconsin, USA, Accepted.
    PUB | PDF
     
  • [385]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2933490 OA
    C. Lian Sang, et al., “Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods”, Sensors, vol. 19, 2019, : 616.
    PUB | PDF | DOI | Download (ext.) | WoS | PubMed | Europe PMC
     
  • [384]
    2019 | Datenpublikation | PUB-ID: 2939390 OA
    C. Lian Sang, et al., Supplementary Experimental Data for the Paper entitled Numerical and Experimental Evaluation of Error Estimation for Two-Way Ranging Methods, Bielefeld University, 2019.
    PUB | Dateien verfügbar | DOI
     
  • [383]
    2019 | Konferenzbeitrag | Angenommen | PUB-ID: 2934957
    T. Korthals, et al., “Multi-Modal Generative Models for Learning Epistemic Active Sensing”, 2019 IEEE International Conference on Robotics and Automation, Piscataway, NJ: IEEE, Accepted.
    PUB
     
  • [382]
    2019 | Konferenzbeitrag | PUB-ID: 2937511 OA
    T. Korthals, et al., “Fiducial Marker based Extrinsic Camera Calibration for a Robot Benchmarking Platform”, European Conference on Mobile Robots, ECMR 2019, Prague, CZ, September 4-6, 2019, 2019, pp.1-6.
    PUB | PDF
     
  • [381]
    2019 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2937732
    D. Büchel, et al., “Effect of playing position and time on-court on activity profiles in german elite team handball”, International Journal of Performance Analysis in Sport , vol. 19, 2019, pp. 832-844.
    PUB | DOI | WoS
     
  • [380]
    2019 | Konferenzbeitrag | PUB-ID: 2937521 OA
    T. Korthals, et al., “Jointly Trained Variational Autoencoder for Multi-Modal Sensor Fusion”, 22st International Conference on Information Fusion, (FUSION) 2019, Ottawa, CA, July 2-5, 2019, 2019, pp.1-8.
    PUB | PDF
     
  • [379]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2940681
    D. Klimeck, et al., “Resource-efficient Reconfigurable Computer-on-Module for Embedded Vision Applications”, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP), Piscataway, NJ: IEEE, 2018.
    PUB | DOI
     
  • [378]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2921313 OA
    C. Lian Sang, et al., “An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods”, 2018 International Conference on Indoor Positioning and Indoor Navigation (IPIN), Piscataway, NJ: IEEE, 2018.
    PUB | PDF | DOI | Download (ext.)
     
  • [377]
    2018 | Datenpublikation | PUB-ID: 2919795 OA
    C. Lian Sang, et al., Supplementary Data for the Paper entitled ''An Analytical Study of Time of Flight Error Estimation in Two-Way Ranging Methods'', Bielefeld University, 2018.
    PUB | Dateien verfügbar | DOI
     
  • [376]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930691
    T. Schöpping, et al., “Generic Architecture for Modular Real-time Systems in Robotics”, Proceedings of the 15th International Conference on Informatics in Control, Automation and Robotics. , K. Madani and O. Gusikhin, eds., vol. 2, Setúbal, Portugal : SciTePress, 2018, pp.403-410.
    PUB | DOI
     
  • [375]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2918988
    T. Schöpping, et al., “AMiRo: A Mini Robot as Versatile Teaching Platform”, Proceedings of the 9th International Conference on Robotics in Education, RiE 2018, Advances in Intelligent Systems and Computing, vol. 829, Springer, 2018, pp.177-188.
    PUB
     
  • [374]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2915905 OA
    J. Ax, et al., “CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories”, IEEE Transactions on Parallel and Distributed Systems, vol. 29, 2018, pp. 1030-1043.
    PUB | PDF | DOI | WoS
     
  • [373]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2919030 OA
    M. Schürmann, et al., “Technical feasibility study for production of tailored multielectrode arrays and patterning of arranged neuronal networks”, PLOS ONE, vol. 13, 2018, : e0192647.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [372]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2918982 OA
    T. Korthals, et al., “Multi-Modal Detection and Mapping of Static and Dynamic Obstacles in Agriculture for Process Evaluation”, Frontiers in Robotics and AI, vol. 5, 2018, : 26.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [371]
    2018 | Zeitschriftenaufsatz | E-Veröff. vor dem Druck | PUB-ID: 2920468
    O.W. Ibraheem, et al., “FPGA-Based Vision Processing System for Automatic Online Player Tracking in Indoor Sports”, Journal of Signal Processing Systems, vol. 91, 2018, pp. 703-729.
    PUB | DOI | WoS
     
  • [370]
    2018 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2934971
    T. Korthals, J. Leitner, and U. Rückert, “Coordinated Heterogeneous Distributed Perception based on Latent Space Representation”, CoRR, 2018.
    PUB | arXiv
     
  • [369]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2933447
    M. Adams, et al., “Visuelles Sensorsystem für die Trainings- und Spielunterstützung im Leistungshandball”, Technologien im Leistungssport 3. Tagungsband zur 19. Frühjahrsschule am 14./15. Mai 2018 in Leipzig, I. Fichtner, ed., Schriftenreihe für angewandte Trainingswissenschaft , vol. 13, Meyer & Meyer Verlag, 2018, pp.106-115.
    PUB
     
  • [368]
    2018 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2930695
    T. Schöpping and U. Rückert, “What Makes a Cognitive Robot? A Systems Engineering Perspective”, 7. Interdisziplinärer Workshop Kognitive Systeme: Mensch, Teams, Systeme und Automaten, Kognitive Systeme: Mensch, Teams, Systeme und Automaten, 2018, pp.102-110.
    PUB
     
  • [367]
    2018 | Konferenzbeitrag | PUB-ID: 2921315
    C. Klarhorst, et al., “Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems”, Presented at the 6th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES 2018), Manchester, United Kingdom, 2018.
    PUB
     
  • [366]
    2017 | Sammelwerksbeitrag | E-Veröff. vor dem Druck | PUB-ID: 2915288
    T. Hörmann and U. Rückert, “Vernetzte Arbeitsumgebungen: Körpernahe und tragbare Sensorik in der Arbeitswelt”, Handbuch Gestaltung digitaler und vernetzter Arbeitswelten, G.W. Maier, G. Engels, and E. Steffen, eds., Berlin, Heidelberg: Springer, 2017, pp.1-20.
    PUB | DOI | Download (ext.)
     
  • [365]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2913968 OA
    A. Stöckel, et al., “Binary Associative Memories as a Benchmark for Spiking Neuromorphic Hardware”, Frontiers in Computational Neuroscience, vol. 11, 2017, : 71.
    PUB | PDF | DOI | WoS | PubMed | Europe PMC
     
  • [364]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2934958
    T. Korthals, et al., “Towards Inverse Sensor Mapping in Agriculture”, Proceedings of the IEEE IROS workshop on Agricultural Robotics. Learning from Industry 4.0 and moving into the future, T. Kounalakis, et al., eds., 2017, pp.6-11.
    PUB | arXiv
     
  • [363]
    2017 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2912815
    O.W. Ibraheem, et al., “Reconfigurable Vision Processing System for Player Tracking in Indoor Sports”, Conference on Design and Architectures for Signal and Image Processing (DASIP 2017), Piscataway, NJ: IEEE, 2017, pp.1-6.
    PUB | DOI
     
  • [362]
    2017 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2909430
    A. Irwansyah, et al., “FPGA-based Multi-Robot Tracking”, Journal of Parallel and Distributed Computing, vol. 107, 2017, pp. 146-161.
    PUB | DOI | Download (ext.) | WoS
     
  • [361]
    2017 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908960
    T. Hörmann, et al., “Detailed Estimation of Cognitive Workload with Reference to a Modern Working Environment”, Biomedical Engineering Systems and Technologies, A. Fred and H. Gamboa, eds., Communications in Computer and Information Science, Cham: Springer Nature, 2017, pp.205-223.
    PUB | DOI
     
  • [360]
    2017 | Konferenzbeitrag | Angenommen | PUB-ID: 2912816
    J. Ax, et al., “Comparing synchronous, mesochronous and asynchronous NoCs for GALS based MPSoC”, IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-17), Accepted.
    PUB
     
  • [359]
    2017 | Report | PUB-ID: 2913643 OA
    J. Romoth, M. Porrmann, and U. Rückert, Survey of FPGA applications in the period 2000 – 2015 (Technical Report), 2017.
    PUB | PDF | DOI
     
  • [358]
    2017 | Konferenzbeitrag | PUB-ID: 2908757 OA
    C. Lian Sang, et al., “An Adaptive Acknowledgement On-demand Protocol for Wireless Sensor Networks”, Proceedings of the 6th International Confererence on Sensor Networks, vol. 1, 2017, pp.174-181.
    PUB | PDF | DOI | Download (ext.)
     
  • [357]
    2016 | Datenpublikation | PUB-ID: 2902276 OA
    T. Schöpping, et al., AMiRo-OS, Bielefeld University, 2016.
    PUB | Dateien verfügbar | DOI
     
  • [356]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903244
    M. Hesse, et al., “Die Entwicklung zukünftiger körpernaher Sensorsysteme für die autarke und mobile Trainingsunterstützung”, Technologien im Leistungssport, I. Fichtner, ed., Schriftenreihe Angewandte Trainingswissenschaft, vol. 4, Aachen: Meyer & Meyer, 2016, pp.152-161.
    PUB | Dateien verfügbar
     
  • [355]
    2016 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908968
    U. Rückert, “Brain-Inspired Architectures for Nanoelectronics”, CHIPS 2020 VOL. 2: New Vistas in Nanoelectronics, B. Hoefflinger, ed., 1st ed., Cham, Switzerland: Springer International Publishing, 2016, pp.249--274.
    PUB | DOI
     
  • [354]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905038 OA
    T. Hörmann, et al., “A Software Assistant for User-Centric Calibration of a Wireless Body Sensor”, 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN), IEEE, 2016, pp.183-188.
    PUB | PDF | DOI | Download (ext.)
     
  • [353]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2903248 OA
    T. Hörmann, et al., “Fine-Grained Prediction of Cognitive Workload in a Modern Working Environment by Utilizing Short-Term Physiological Parameters”, Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies, vol. 4, SCITEPRESS, 2016, pp.42-51.
    PUB | PDF | DOI | Download (ext.)
     
  • [352]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2906483
    S. Herbrechtsmeier, et al., “AMiRo: A Modular & Customizable Open-Source Mini Robot Platform”, Presented at the 20th International Conference on System Theory, Control and Computing, Sinaia, IEEE, 2016.
    PUB | DOI
     
  • [351]
    2016 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2905037 OA
    M. Hesse, et al., “Towards a Comprehensive Power Consumption Model for Wireless Sensor Nodes”, 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN), IEEE, 2016, pp.390-395.
    PUB | PDF | DOI | Download (ext.)
     
  • [350]
    2016 | Konferenzbeitrag | PUB-ID: 2906482
    T. Korthals, et al., “Occupancy Grid Mapping with Highly Uncertain Range Sensors based on Inverse Particle Filters”, Proceedings of the 13th International Conference on Informatics in Control, Automation and Robotics, 2016, pp.192-200.
    PUB | DOI
     
  • [349]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2732427
    G. Sievers, et al., “Evaluation of Interconnect Fabrics for an Embedded MPSoC in 28 nm FD-SOI”, 2015 IEEE International Symposium on Circuits & Systems (ISCAS), IEEE, 2015, pp.1925-1928.
    PUB | DOI | Download (ext.)
     
  • [348]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2760622
    G. Sievers, et al., “Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI”, International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), IEEE, 2015, pp.175-181.
    PUB | DOI
     
  • [347]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757486
    T. Schöpping, et al., “AMiRo: A Mini Robot for Scientific Applications”, Advances in Computational Intelligence, I. Rojas, G. Joya, and A. Catala, eds., Lecture Notes in Computer Science, vol. 9094, Cham: Springer, 2015, pp.199-205.
    PUB | DOI
     
  • [346]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901107
    O.W. Ibraheem, et al., “A resource-efficient multi-camera GigE vision IP core for embedded vision processing platforms”, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig), M. Hübner, M. Gokhale, and R. Cumplido, eds., Piscataway, NJ: IEEE, 2015, pp.1-6.
    PUB | DOI
     
  • [345]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2901108
    A. Irwansyah, et al., “FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking”, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig), M. Hübner, M. Gokhale, and R. Cumplido, eds., Piscataway, NJ: IEEE, 2015, pp.1-8.
    PUB | DOI
     
  • [344]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2909276
    L. Keuck, et al., “Neuro control of a PFC rectifier for harmonic reduction at partial loads”, 2014 International Conference on Advances in Green Energy (ICAGE), Institute of Electrical and Electronics Engineers (IEEE), 2015.
    PUB | DOI
     
  • [343]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2908970
    U. Rückert, “VLSI-Entwurfsmethoden”, Integrierte Digitale Schaltungen: Vom Transistor zur optimierten Logikschaltung, H. Klar and T. Noll, eds., 3rd ed., Berlin, Heidelberg: Springer Berlin Heidelberg, 2015, pp.669--689.
    PUB | DOI
     
  • [342]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2783152 OA
    T. Hörmann, et al., “Robust Estimation of Physical Activity by Adaptively Fusing Multiple Parameters”, Wearable and Implantable Body Sensor Networks (BSN), 2015 IEEE 12th International Conference on, IEEE, 2015, pp.1-6.
    PUB | PDF | DOI | Download (ext.)
     
  • [341]
    2015 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2902858
    T. Korthals, T. Krause, and U. Rückert, “Evidence Grid Based Information Fusion for Semantic Classifiers in Dynamic Sensor Networks”, Machine Learning for Cyber Physical Systems, O. Niggemann and J. Beyerer, eds., Berlin, Heidelberg: Springer Science + Business Media, 2015, pp.9-14.
    PUB | DOI
     
  • [340]
    2015 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2757836
    J. Ax, et al., “Universelle Echtzeit-Ethernet Architektur zur Integration in rekonfigurierbare Automatisierungssysteme”, Presented at the 45. Jahrestagung der Gesellschaft für Informatik (INFORMATIK), Cottbus, 2015.
    PUB
     
  • [339]
    2015 | Konferenzbeitrag | PUB-ID: 2902041
    M. Vohrmann, et al., “A 65 nm Standard Cell Library for Ultra Low-power Applications”, Presented at the 22nd European Conference on Circuit Theory and Design, ECCTD2015, Trondheim, Norway, IEEE, 2015.
    PUB | DOI
     
  • [338]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698992
    A. Irwansyah, et al., “FPGA-based Generic Architecture for Rapid Prototyping of Video Hardware Accelerators using NoC AXI4-Stream Interconnect and GigE Vision Camera Interfaces”, Presented at the Bildverarbeitung in der Automation (BVAu) 2014, Lemgo, Germany, 2014.
    PUB
     
  • [337]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2730661 OA
    M. Hesse, et al., “A Respiration Sensor for a Chest-Strap Based Wireless Body Sensor”, SENSORS, 2014 IEEE, IEEE, 2014, pp.490-493.
    PUB | PDF | DOI
     
  • [336]
    2014 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2698929
    B. Hübener, et al., “CoreVA: A Configurable Resource-efficient VLIW Processor Architecture”, Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, IEEE, 2014, pp.9-16.
    PUB | DOI
     
  • [335]
    2014 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2704390
    P. Christ and U. Rückert, “Identification of Athletes During Walking and Jogging Based on Gait and Electrocardiographic Patterns”, Biomedical Engineering Systems and Technologies, M. Fernandez-Chimeno, et al., eds., Communications in Computer and Information Science, vol. 452,, Berlin, Heidelberg: Springer Berlin Heidelberg, 2014, pp.240-257.
    PUB | DOI | Download (ext.)
     
  • [334]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2560236
    S. Lütkemeier, et al., “A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control”, IEEE Journal Of Solid-State Circuits, vol. 48, 2013, pp. 8-19.
    PUB | DOI | WoS
     
  • [333]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576115 OA
    S. Korf, et al., “Dynamisch rekonfigurierbare Hardware als Basistechnologie für intelligente technische Systeme”, Proceedings Wissenschaftsforum 2013 Intelligente Technische Systeme, J. Gausemeier, et al., eds., HNI-Verlagsschriftenreihe, vol. 310, Paderborn: Heinz-Nixdorf-Inst., Univ. Paderborn, 2013, pp.79-90.
    PUB | PDF
     
  • [332]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2637667
    G. Sievers, et al., “Design-Space Exploration of the Configurable 32 bit VLIW Processor CoreVA for Signal Processing Applications”, 2013 NORCHIP, 2013.
    PUB | DOI
     
  • [331]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634404
    A. Tanoto, et al., “Teletesting: Remote Path Planning Experimentation and Benchmarking in the TeleWorkbench”, Presented at the IEEE European Conference on Mobile Robots (ECMR'13), Barcelona, Spain, 2013.
    PUB | Download (ext.)
     
  • [330]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2634649
    P. Christ, et al., “Pareto-optimal Signal Processing on Low-Power Microprocessors”, Proceedings of the 12th IEEE International Conference on SENSORS, IEEE, 2013, pp.1843-1846.
    PUB | DOI | Download (ext.)
     
  • [329]
    2013 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2576303 OA
    P. Christ, et al., “Athlete Identification using Acceleration and Electrocardiographic Measurements Recorded with a Wireless Body Sensor”, Proc. of the 6th Int. Conf. on Bio-Inspired Systems and Signal Processing, Int. Joint Conf. on Biomedical Engineering Systems and Technologies, S. Alvarez, et al., eds., SciTePress, 2013, pp.11-19.
    PUB | PDF | Download (ext.)
     
  • [328]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2634614
    T. Jungeblut, et al., “A Systematic Approach for Optimized Bypass Configurations for Application-specific Embedded Processors”, ACM Trans. Embed. Comput. Syst., vol. 13, 2013, pp. 1-25.
    PUB | DOI | Download (ext.) | WoS
     
  • [327]
    2013 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2575531
    J. Lachmair, et al., “A reconfigurable neuroprocessor for self-organizing feature maps”, Neurocomputing, vol. 112, 2013, pp. 189-199.
    PUB | DOI | Download (ext.) | WoS
     
  • [326]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493986
    S. Herbrechtsmeier, U. Rückert, and J. Sitte, “AMiRo – Autonomous Mini Robot for Research and Education”, Advances in Autonomous Mini Robots, U. Rückert, J. Sitte, and F. Werner, eds., Heidelberg: Springer, 2012, pp.101-112.
    PUB | DOI
     
  • [325]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2559365
    J. Romoth, et al., “Optimizing inter-FPGA communication by automatic channel adaptation”, 2012 International Conference on Reconfigurable Computing and FPGAs. 5 - 7 Dec. 2012, Cancun, Mexico , Piscataway, NJ: IEEE, 2012, pp.1-7.
    PUB | DOI
     
  • [324]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2546464
    A. Tanoto, et al., “Scalable and Flexible Vision-Based Multi-Robot Tracking System”, Proceedings of the IEEE International Symposium on Intelligent Control (ISIC), IEEE, 2012, pp.19-24.
    PUB | DOI
     
  • [323]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547051
    A. Tanoto and U. Rückert, “Local Navigation Strategies for Multi-Robot Exploration: From Simulation to Experimentation with Mini-Robots”, Procedia Engineering, vol. 41, Elsevier, 2012, pp.1197-1203.
    PUB | DOI
     
  • [322]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549895
    A. Al-Bermani, et al., “Real-time Comparison of Blind Phase Search with Different Angle Resolutions for 16-QAM”, Presented at the IEEE Photonics 2012 Conference (IPC12 - formerly LEOS), 23-27 September 2012, San Francisco, USA, 2012.
    PUB | DOI
     
  • [321]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493811
    J. Lachmair, et al., “gNBXe - a Reconfigurable Neuroprocessor for Various Types of Self-Organizing Maps”, European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, 2012, pp.645-650.
    PUB | Download (ext.)
     
  • [320]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493993
    A. Tanoto, F. Werner, and U. Rückert, “Multi-Robot System Validation: From Simulation to Prototyping with Minirobots in the Teleworkbench”, Advances in Autonomous Mini Robots, U. Rückert, J. Sitte, and F. Werner, eds., Heidelberg: Springer, 2012, pp.147-160.
    PUB | DOI
     
  • [319]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2575545
    A. Backhaus, et al., “Hardware accelerated real time classification of hyperspectral imaging data for coffee sorting”, European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, 2012, pp.627-632.
    PUB | Download (ext.)
     
  • [318]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2549880
    A. Al-Bermani, et al., “Phase Estimation Filter Length Tolerance for Real-Time 16-QAM Transmission System Using QPSK Partitioning”, Presented at the Workshop der ITG-Fachgruppe 5.3.1, 5-6. July 2012, Gewerkschaftshaus Nürnberg, 2012.
    PUB
     
  • [317]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547438
    U. Rückert and E. Merenyi, “Parallel Neural Hardware: The Time is Right”, Presented at the ESANN 2012 proceedings, European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning. Bruges (Belgium), 25-27 April 2012, 2012.
    PUB | Download (ext.)
     
  • [316]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475063
    S. Lütkemeier, et al., “A 200mV 32b Subthreshold Processor with Adaptive Supply Voltage Control”, Proc. of the International Solid-State Circuits Conference (ISSCC), Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2012, pp.484-485.
    PUB | DOI
     
  • [315]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2517354
    J. Hagemeyer, et al., “A Scalable Platform for Run-time Reconfigurable Satellite Payload Processing”, 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2012), Piscataway, NJ: IEEE, 2012, pp.9-16.
    PUB | DOI | Download (ext.)
     
  • [314]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2493813
    T. Jungeblut, et al., “A TCMS-based architecture for GALS NoCs.”, 2012 IEEE International Symposium on Circuits and Systems, IEEE Circuits and Systems Society and Institute of Electrical and Electronics Engineers, eds., Piscataway, NJ: IEEE, 2012.
    PUB | DOI
     
  • [313]
    2012 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2547432
    A. Al-Bermani, et al., “Real-time synchronous 16-QAM Optical Transmission system Using Blind Phase Search and QPSK Partitioning Carrier Recovery Techniques”, Presented at the Photonische Netze - 13. ITG-Fachtagung 07.05.2012 - 08.05.2012 in Leipzig, Germany, 2012.
    PUB | Download (ext.)
     
  • [312]
    2012 | Konferenzband | Veröffentlicht | PUB-ID: 2493982
    U. Rückert, J. Sitte, and F. Werner, eds., Advances in Autonomous Mini Robots: Proceedings of the 6-th AMiRE Symposium, Heidelberg: Springer, 2012.
    PUB | DOI
     
  • [311]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286173
    S. Korf, et al., “Automatic HDL-Based Generation of Homogeneous Hard Macros for FPGAs”, IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011 : 1 - 3 May 2011, Salt Lake City, Utah, USA ; proceedings , P. Chow, ed., Piscataway, NJ: IEEE, 2011, pp.125-132.
    PUB | DOI | Download (ext.)
     
  • [310]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2372545
    P. Christ, et al., “An approach for determining linear velocities of athletes from acceleration measurements using a neural network”, Proc. of the 6th IASTED Int. Conf. on Biomechanics, B. Morrison and M.H. Hamza, eds., ACTA Press, 2011, pp.105-112.
    PUB | DOI
     
  • [309]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2551440
    M.W. Blesken, et al., “Integrated circuit optimization by means of evolutionary multi-objective optimization”, Proceedings of the 13th annual conference on Genetic and evolutionary computation, Association for Computing Machinery, ed., ACM Digital Library, New York, NY, USA: ACM, 2011, pp.807-812.
    PUB | DOI | Download (ext.)
     
  • [308]
    2011 | Konferenzbeitrag | PUB-ID: 2406730
    A. Al-Bermani, et al., “Realtime Implementation of Square 16-QAM Transmission System”, Presented at the SPIE Eco-Photonics, Strasbourg, France, Proc. SPIE 8065, 806519, 2011.
    PUB | DOI
     
  • [307]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494507
    J. Romoth, et al., “Fast Design-space Exploration with FPGA Cluster”, DATE 2011 Workshop on Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing, 2011.
    PUB | Download (ext.)
     
  • [306]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2476993 OA
    T. Jungeblut, et al., “Resource Efficiency of Scalable Processor Architectures for SDR-based Applications (Invited)”, Proc. of the Radar, Communication and Measurement Conference (RADCOM), 2011.
    PUB | Dateien verfügbar
     
  • [305]
    2011 | Konferenzbeitrag | PUB-ID: 2406708
    A. Al-Bermani, et al., “Synchronous 16-QAM Transmission in a FPGA-Based Coherent Receiver with Different Phase Estimation Filter Lengths”, Presented at the ITG-Fachtagung vom 2.-3. Mai 2011, Leipzig, VDE-Verlag, 2011.
    PUB | Download (ext.)
     
  • [304]
    2011 | Konferenzbeitrag | PUB-ID: 2406796
    S. Hoffmann, et al., “Kohärente optische 16-QAM-Übertragung mit ressourceneffizienter Vorwärts-Phasenschätzung”, Presented at the Workshop der ITG-Fachgruppe 5.3.1, Technische Universität Dortmund, 2011.
    PUB
     
  • [303]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2493623
    F. Nava, et al., “Applying dynamic reconfiguration in the mobile robotics domain: a case study on computer vision algorithms.”, ACM Transactions on Reconfigurable Technology and Systems (TRETS), vol. 4, 2011, pp. 1-22.
    PUB | DOI | WoS
     
  • [302]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2406697
    A. Al-Bermani, et al., “Nonlinear Effect of IQ Modulator in a Realtime Synchronous 16-QAM Transmission System”, Presented at the IEEE Photonics 2011 (IPC11), formerly (LEOS), Arlington, Virginia, USA, IEEE, 2011.
    PUB | DOI
     
  • [301]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2494510
    R. Griessl, et al., “A Low-Power Vision Processing Platform for Mobile Robots”, Proceedings of the FPL2011 Workshop on Computer Vision on Low-Power Reconfigurable Architectures, 2011.
    PUB | Download (ext.)
     
  • [300]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2300464
    P. Christ, et al., “Performance Analysis of the nRF24L01 Ultra-Low-Power Transceiver in a Multi-Transmitter and Multi-Receiver Scenario”, 2011 IEEE sensors proceedings, Piscataway, NJ: IEEE, 2011, pp.1205-1208.
    PUB | DOI
     
  • [299]
    2011 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2307141
    A. Al-Bermani, et al., “Synchronous Demodulation of Coherent 16-QAM with Feedforward Carrier Recovery”, IEICE Transactions on Communications, vol. E94-B, 2011, pp. 1794-1800.
    PUB | DOI | WoS
     
  • [298]
    2011 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286604 OA
    A. Tanoto, et al., “Teleworkbench: Validating Robot Programs from Simulation to Prototyping with Minirobots (Demonstration)”, Taipei Taiwan: AAMAS 2011, 2011.
    PUB | PDF | Download (ext.)
     
  • [297]
    2011 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2018536
    T. Jungeblut, et al., “Design-space Exploration for Flexible WLAN Hardware”, Cross Layer Designs in WLAN Systems, N. Zorba, C. Skianis, and C. Verikoukis, eds., Leicester, UK: Troubador Publishing, 2011, pp.521-564.
    PUB
     
  • [296]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286608
    A.H.K.O. Berge, et al., “Design of 9T SRAM for dynamic voltage supplies by a multiobjective optimization approach”, Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on, IEEE Circuits and Systems Society and Institute of Electrical and Electronics Engineers, eds., Piscataway, NJ: IEEE, 2010, pp.319-322.
    PUB | DOI
     
  • [295]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2475069
    M. Blesken, S. Lütkemeier, and U. Rückert, “Multiobjective optimization for transistor sizing sub-threshold CMOSlogic standard cells”, Proc. IEEE Int Circuits and Systems (ISCAS) Symp, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2010, pp.1480-1483.
    PUB | DOI
     
  • [294]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968280
    A. Al-Bermani, et al., “Real-Time Phase-Noise-Tolerant 2.5-Gb/s Synchronous 16-QAM Transmission”, IEEE Photonics Technology Letters, vol. 22, 2010, pp. 1823-1825.
    PUB | DOI | WoS
     
  • [293]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1968122
    S. Hoffmann, et al., “Hardware-Efficient Phase Estimation for Digital Coherent Transmission With Star Constellation QAM”, IEEE Photonics Journal, vol. 2, 2010, pp. 174-180.
    PUB | DOI | WoS
     
  • [292]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 1940858
    P. Christ, et al., “Detection of Body Movement and Measurement of Physiological Stress with a Mobile Chest Module in Obesity Prevention”, Australasian Conference on Mathematics and Computers in Sport, A. Bredford and M. Owens, eds., 2010, pp.67-74.
    PUB
     
  • [291]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018530
    S. Hoffmann, et al., “Hardware-effiziente Phasenschätzung für kohärenten QAM-Empfang mit regulären Stern-Konstellationen”, 11. ITG-Fachtagung "Photonische Netze", vol. 222, ITG/VDE, 2010, pp.221-224.
    PUB | Download (ext.)
     
  • [290]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286622
    C. Puttmann, M. Porrmann, and U. Rückert, “Extending GigaNoC towards a Dependable Network-on-Chip”, Digest of the DAC Workshop on Diagnostic Services in Network-on-Chips (DSNOC), 2010.
    PUB
     
  • [289]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018549
    T. Jungeblut, et al., “Design Space Exploration for Memory Subsystems of VLIW Architectures”, 5th IEEE International Conference on Networking, Architecture, and Storage, 2010, pp.377-385.
    PUB | DOI
     
  • [288]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018570
    F. Werner, et al., “The Teleworkbench: A Platform for Performing and Comparing Experiments in Robot Navigation”, Proceedings of the Workshop on The Role of Experiments in Robotics Research, 2010.
    PUB | Download (ext.)
     
  • [287]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018575 OA
    P. Wilhelm, et al., “An Integrated Monitoring and Analysis System for Performance Data of Indoor Sport Activities”, The 10th Australasian Conference on Mathematics and Computers in Sport, 2010.
    PUB | PDF
     
  • [286]
    2010 | Konferenzbeitrag | PUB-ID: 2286616
    T. Jungeblut, et al., “A Framework for the Design Space Exploration of Software-Defined Radio Applications”, 2nd International ICST Conference on Mobile Lightweight Wireless Systems, 2010.
    PUB | Download (ext.)
     
  • [285]
    2010 | Konferenzbeitrag | PUB-ID: 2286628 OA
    T. Jungeblut, et al., “A modular design flow for very large design space explorations”, CDNLive! EMEA 2010, 2010.
    PUB | Dateien verfügbar
     
  • [284]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018490
    A. al Bermani, et al., “Implementation of Coherent 16-QAM Digital Receiver with Feedforward Carrier Recovery”, Signal Processing in Photonic Communications, IEEE Xplore, 2010.
    PUB | Download (ext.)
     
  • [283]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018541 OA
    T. Jungeblut, et al., “Resource Efficiency of Hardware Extensions of a 4-issue VLIW Processor for Elliptic Curve Cryptography”, Advances in Radio Science, vol. 8, 2010, pp. 295-305.
    PUB | PDF | DOI | Download (ext.)
     
  • [282]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145423
    M. Koester, et al., “Design Optimizations for Tiled Partially Reconfigurable Systems”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 19, 2010, pp. 1048-1061.
    PUB | DOI | WoS
     
  • [281]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018485
    P. Adelt, et al., “Demonstrating self-optimization using a heterogeneous robot group”, Proceedings of the 8th IEEE International Conference on Industrial Informatics, Piscataway, NJ: IEEE, 2010, pp.727-732.
    PUB | DOI
     
  • [280]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018497
    A. Al Bermani, et al., “Realtime 16-QAM Transmission with Coherent Digital Receiver”, OECC 2010, IEEE Xplore, 2010.
    PUB | Download (ext.)
     
  • [279]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018505
    A. al Bermani, et al., “First Realtime Synchronous 16-QAM Transmission with Coherent Digital Receiver”, 11. ITG-Fachtagung "Photonische Netze", vol. 222, ITG/VDE, 2010, pp.153-156.
    PUB | Download (ext.)
     
  • [278]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018517
    S. Herbrechtsmeier, et al., “Robust Multihop Communication for Mobile Applications”, Proceedings of IARP Workshop on Robotics for Risky Interventions and Environmental Surveillance (RISE) 2010, 2010.
    PUB | Download (ext.)
     
  • [277]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2018557
    M. Purnaprajna, et al., “Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis”, ACM Transactions on Reconfigurable Technology, vol. 3, 2010, pp. 1-25.
    PUB | DOI | WoS
     
  • [276]
    2010 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2018564
    C. Puttmann, et al., “High Level Specification of Embedded Listeners for Monitoring of Network-on-Chips”, Proceedings of the IEEE International Symposium on Circuits and Systems, 2010, pp.3333-3336.
    PUB | DOI
     
  • [275]
    2010 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 1929613
    S. Lütkemeier and U. Rückert, “A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror”, IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 57, 2010, pp. 721-724.
    PUB | DOI | WoS
     
  • [274]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286299
    M. Blesken, et al., “Multiobjective optimization for transistor sizing of CMOS logic standard cells using set-oriented numerical techniques”, NORCHIP, 2009, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2009, pp.1-4.
    PUB | DOI
     
  • [273]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289299
    S. Lütkemeier, T. Kaulmann, and U. Rückert, “A Sub-200mV 32bit ALU with 0.45pJ/instruction in 90nm CMOS”, Semiconductor Conference Dresden, 2009.
    PUB
     
  • [272]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289340
    T. Chinapirom, U. Witkowski, and U. Rückert, “Vision Module for Mini-robots Providing Optical Flow Processing for Obstacle Avoidance”, Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics, Berlin, Heidelberg: Springer-Verlag, 2009, pp.208-219.
    PUB | DOI
     
  • [271]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144752 OA
    M. Purnaprajna, et al., “Using Run-time Reconfiguration for Energy Savings in Parallel Data Processing”, Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'09, July 13-16, 2009, Las Vegas, Nevada, USA, 2009, pp.119-125.
    PUB | PDF
     
  • [270]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144757
    R. Dreesen, et al., “A Synchronization Method for Register Traces of Pipelined Processors”, Proceedings of the International Embedded Systems Symposium 2009 (IESS '09), Schloss Langenargen, Germany: 2009, pp.207-217.
    PUB | Download (ext.)
     
  • [269]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144795
    M. El-Darawy, et al., “Analysis of an ASIC-based Coherent Polarization-Multiplexed QPSK Receiver and Different Receiver Frontends”, 10. ITG-Fachtagung "Photonische Netze", Leipzig, Germany: ITG/VDE, 2009.
    PUB | Download (ext.)
     
  • [268]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144865 OA
    P. Wilhelm, et al., “SPA - A System for Analysis of Indoor Team Sports Using Video Tracking and Wireless Sensor Network”, 6th International Symposium on Image and Signal Processing and Analysis (ISPA 2009), Salzburg, Austria: 2009.
    PUB | PDF
     
  • [267]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144891
    C. Paiz, et al., “FPGA-in-the-Loop-Simulations for Dynamically Reconfigurable Applications”, Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT'09), IEEE Circuits and Systems Society, IEEE Electron Devices Society, and Institute of Electrical and Electronics Engineers, eds., The University of New South Wales, Sydney, Australia, 9-11, Sydney, Australia: IEEE, 2009, pp.372-375.
    PUB | DOI
     
  • [266]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144772
    C. Liß, M. Porrmann, and U. Rückert, “InCyte ChipEstimator in Research and Education”, CDNLive EMEA 2009, 2009.
    PUB
     
  • [265]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144791
    B. Neuwinger, U. Witkowski, and U. Rückert, “Ad-Hoc Communication and Localization System for Mobile Robots”, Advances in Robotics, vol. 5744/2009, Berlin, Heidelberg: Springer-Verlag, 2009, pp.220-229.
    PUB | DOI
     
  • [264]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144809
    S. Hoffmann, et al., “Realtime Phase Tracking with Multiplier-Free Barycenter Approximation in Digital Synchronous QPSK Receiver for Coherent Detection”, LEOS, Annual Meeting 2009, IEEE Photonics Society, ed., Belek-Antalya, Turkey: IEEE, 2009.
    PUB | DOI
     
  • [263]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144830
    T. Jungeblut, et al., “Design Space Exploration for Next Generation Wireless Technologies (invited talk).”, Proc. of the Electrical and Electronic Engineering for Communication Conference (EEEfCOM) 2009, 2009.
    PUB
     
  • [262]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144880
    C. Pohl, et al., “Using a Reconfigurable Compute Cluster for the Acceleration of Neural Networks”, Proceedings of the 2009 International Conference on Field-Programmable Technology (FPT '09), IEEE Circuits and Systems Society, IEEE Electron Devices Society, and Institute of Electrical and Electronics Engineers, eds., Sydney, Australia: IEEE, 2009, pp.368-371.
    PUB | DOI
     
  • [261]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144885
    R. Noe, et al., “Realization of Digital Coherent Receivers”, LEOS, Annual Meeting 2009, IEEE Photonics Society, ed., Belek-Antalya, Turkey: IEEE, 2009.
    PUB | DOI
     
  • [260]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289332
    M. El-Habbal, U. Rückert, and U. Witkowski, “Topology Control in Large-Scale High Dynamic Mobile Ad-Hoc Networks”, Proceedings of the FIRA RoboWorld Congress 2009 on Advances in Robotics, Berlin, Heidelberg: Springer-Verlag, 2009, pp.239-250.
    PUB | DOI
     
  • [259]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285840
    R. Noe, et al., “Real-time implementation of digital coherent detection”, Optical Communication, 2009. ECOC '09. 35th European Conference on, 2009, pp.1-4.
    PUB | Download (ext.)
     
  • [258]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144786
    H.-P. Loeb, et al., “UMAC – A Universal MAC Architecture for Heterogeneous Home Networks”, The International Workshop on Wireless and Optical Networks (WI-OPT 2009), Workshop at International Conference on Ultra Modern Telecommunications (ICUMT-2009), Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2009.
    PUB | DOI
     
  • [257]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144856
    S. Hoffmann, et al., “Multiplier-Free Realtime Phase Tracking in Digital Synchronous QPSK, Receiver for Coherent Optical Detection”, ICIIS2009, CIE3-1, 28.-31. Dec. 2009, University of Perydenia, Sri Lanka, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2009.
    PUB | DOI
     
  • [256]
    2009 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2144870
    M. Purnaprajna, M. Porrmann, and U. Rückert, “Run-time reconfigurability in embedded multiprocessors”, ACM SIGARCH Computer Architecture News, vol. 37, 2009, pp. 30-37.
    PUB | DOI | Download (ext.)
     
  • [255]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144782
    C. Liß, M. Porrmann, and U. Rückert, “Early Exploration of Network Processor Architectures Using Cadence InCyte Chip Estimator”, CDNLive EMEA 2009, 2009.
    PUB
     
  • [254]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144821
    U. Witkowski, et al., “AMiRESot – A New Robot Soccer League with Autonomous Miniature Robots”, Progress in Robotics. FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, Communications in Computer and Information Science, vol. 44, Springer, 2009.
    PUB | DOI
     
  • [253]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144826
    S. Herbrechtsmeier, U. Witkowski, and U. Rückert, “BeBot: A Modular Mobile Miniature Robot Platform Supporting Hardware Reconfiguration and Multi-standard Communication”, Progress in Robotics, Communications in Computer and Information Science. Proceedings of the FIRA RoboWorld Congress 2009, C.-hwan Kim and Federation of International Robosoccer Association, eds., Communications in computer and information science, vol. 44, Incheon, Korea: Springer, 2009, pp.346-356.
    PUB | DOI
     
  • [252]
    2009 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2144838
    A. Tanoto, U. Rückert, and U. Witkowski, “Teleworkbench: A Teleoperated Platform for Experiments in Multi-Robotics”, Web-Based Control and Robotics Education, S.G. Tzafestas, ed., vol. 38, Dordrecht: Springer Verlag, 2009, pp.267-296.
    PUB | DOI
     
  • [251]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144730
    J.-H. Kim, et al., Progress in Robotics, Proceedings of the FIRA RoboWorld Congress 2009, Lecture Notes in Computer Science, Vol. 44, 2009, ISBN: 978-3-642-03985-0., Incheon, Korea: Springer, 2009.
    PUB | DOI
     
  • [250]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144805 OA
    E. Monier, P. Wilhelm, and U. Rückert, “A Computer Vision Based Tracking System for Indoor Team Sports”, The fourth International Conference on Intelligent Computing and Information Systems, Cairo, Egypt: 2009.
    PUB | PDF
     
  • [249]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144817
    E. Monier, P. Wilhelm, and U. Rückert, “Template Matching Based Tracking of Players in Indoor Team Sports”, Third ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC 2009), Como, Italy: IEEE, 2009.
    PUB | DOI
     
  • [248]
    2009 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2144843 OA
    C. Paiz, et al., “FPGA-Based Realization of Self-Optimizing Drive-Controllers”, the 35th Annual Conference of the IEEE Industrial Electronics Society (IECON 2009), IEEE Industrial Electronics Society, et al., eds., Piscataway, NJ: IEEE, 2009, pp.2868-2873.
    PUB | PDF | DOI | Download (ext.)
     
  • [247]
    2009 | Monographie | Veröffentlicht | PUB-ID: 2144898
    J.-H. Kim, et al., Advances in Robotics, Proceedings of the FIRA RoboWorld Congress 2009, Lecture Notes in Computer Science, vol. 5744, 1st ed., Incheon, Korea: Springer, 2009.
    PUB | DOI
     
  • [246]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289189
    S. Amin, et al., “Modified Local Navigation Strategy for Un-known Environment Exploration”, 5th IEEE International Conference on Informatics in Control, Automation and Robotics, Funchal, Madeira, Portugal: 2008.
    PUB
     
  • [245]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289237 OA
    T. Jungeblut, et al., “Realtime multiprocessor for mobile ad hoc networks”, Advances in Radio Science, vol. 6, 2008, pp. 239-243.
    PUB | PDF | DOI | Download (ext.)
     
  • [244]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289244
    U. Witkowski, et al., “Powerful Miniature Robot for Research and Education”, IEEE Proceedings of the, 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria, 2008.
    PUB
     
  • [243]
    2008 | Report | PUB-ID: 2285789
    R. Noe and U. Rückert, Abschlußbericht zum EU-Projekt: synQPSK, Heinz Nixdorf Institut, Universität Paderborn, 2008.
    PUB
     
  • [242]
    2008 | Report | PUB-ID: 2285797
    J. von zur Gathen and U. Rückert, Abschlußbericht des DFG-Projektes: Ressourceneffiziente Hardware-Software-Kombinationen für Kryptographie mit elliptischen Kurven, Heinz Nixdorf Institut, Universität Paderborn, 2008.
    PUB
     
  • [241]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285920
    U. Witkowski, et al., “An automated platform for minirobots experiments”, Control, Automation, Robotics and Vision, 2008. ICARCV 2008. 10th International Conference on, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2008, pp.685-688.
    PUB | DOI
     
  • [240]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289205
    T. Jungeblut, et al., “Design Space Exploration for Resource Efficient VLIW-Processors”, University Booth of the Design, Automation and Test in Europe (DATE) conference, 2008.
    PUB
     
  • [239]
    2008 | Konferenzband | Veröffentlicht | PUB-ID: 2289231
    T. Chinapirom, U. Witkowski, and U. Rückert, eds., A Biologically-Inspired and Resource-Efficient Vision System using Mobile Mini-Robots for Obstacle Avoidance, 2008.
    PUB
     
  • [238]
    2008 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2289175 OA
    C. Puttmann, et al., “Hardware Accelerators for Elliptic Curve Cryptography”, Advances in Radio Science, vol. 6, 2008, pp. 259-264.
    PUB | PDF | DOI | Download (ext.)
     
  • [237]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289199
    H. Ebied, U. Witkowski, and U. Rückert, “Visual Landmarks Based on Self-localization of Mobile Robot Using an Alternative Geometric Triangulation Algorithm”, The 5th International Conference on Computational Intelligence, Robotics and Autonomous Systems (CIRAS),19-21 June-2008, Linz, Austria., 2008.
    PUB
     
  • [236]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289221
    S. Amin, et al., “Effect of Global Position Information in Unknown World Exploration – A Case Study using the Teleworkbench”, IEEE Proceedings of the 5th International Conference on Computational Intelligence, Robotics and Autonomous System (CIRAS 2008), June 19 – 21, Linz, Austria, vol. 57, Elsevier BV, 2008, pp.1042-1047.
    PUB | DOI | WoS
     
  • [235]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289183
    H. Ebied, U. Witkowski, and U. Rückert, “Robot Localization Based on Visual Landmarks”, The 5th IEEE International Conference on Informatics in Control, Automation and Robotics (ICINCO), 11-15 May-2008, Funchal, Madeira – Portugal., 2008.
    PUB
     
  • [234]
    2008 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289215
    M.A.M. El Habbal, U. Witkowski, and U. Rückert, “Mobile Ad-hoc Communication applied and optimized for disaster scenarios”, Wireless Technologies Kongress 2008, Bochum, Germany: 2008, pp.25-34.
    PUB
     
  • [233]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286362
    C. Puttmann, et al., “GigaNoC - A Hierarchical Network-on-Chip for Scalable Chip-Multiprocessors”, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007), Piscataway, NJ: IEEE, 2007, pp.495-502.
    PUB | DOI | Download (ext.)
     
  • [232]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289033 OA
    M. Hussmann, et al., “Compiler-Driven Reconfiguration of Multiprocessors”, Proceedings of the Workshop on Application Specific Processors (WASP) 2007, 2007.
    PUB | PDF | Download (ext.)
     
  • [231]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289057
    T. Jungeblut, et al., “Real-Time Multiprocessor SoC for Mobile Ad Hoc Networks”, Proceedings of the Conference on Design, Automation and Test in Europe (DATE '07) – University Booth, 2007, 2007.
    PUB | Download (ext.)
     
  • [230]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289076
    R. Eickhoff, T. Kaulmann, and U. Rückert, “Neural Inspired Architectures for Nanoelectronics”, Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN), F. Sandoval, ed., Lecture notes in computer science, vol. 4507, Berlin, Heidelberg: Springer-Verlag, 2007, pp.414-421.
    PUB | DOI
     
  • [229]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289090 OA
    A. Tanoto, U. Witkowski, and U. Rückert, “Teleworkbench: A Remotely-Accessible Robotic Laboratory foe Education”, Spring 2007 AAAI Symposium on Robots in AI and CS Education-Robots and Robot Venues: Resources for AI Education, 2007.
    PUB | PDF | Download (ext.)
     
  • [228]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289108
    T. Kaulmann, A. Löffler, and U. Rückert, “A Control Approach to a Biophysical Neuron Model”, Proceedings of the International Conference on Artificial Neural Networks, J.P.M. de Sá, ed., Lecture notes in computer science, vol. 4668, Berlin, Heidelberg: Springer-Verlag, 2007, pp.529-538.
    PUB | DOI
     
  • [227]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289127
    M.A.M. El Habbal, U. Witkowski, and U. Rückert, “FPGA based speech processing for the Khepera Robot”, 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), Buenos Aires, Argentina: 2007.
    PUB
     
  • [226]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289139
    S. Amin, et al., “Environment Exploration Using Mini-Robot Khepera”, International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2007), Buenos Aires, Argentina: 2007.
    PUB
     
  • [225]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289160 OA
    U. Rückert and R. Eickhoff, “Controlling complexity of RBF networks by similarity”, ESANN, 2007, pp.181-186.
    PUB | PDF
     
  • [224]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285993
    V. Rana, et al., “Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux”, Proceedings of the 21st International Parallel and Distributed Processing Symposium (IPDPS 2007) - Reconfigurable Architecture Workshop (RAW), IEEE Computer Society., IEEE Computer Society. Technical Committee on Parallel Processing and Institute of Electrical and Electronics Engineers. Technical Committee on Parallel Processing, eds., Piscataway, NJ: IEEE, 2007.
    PUB | DOI
     
  • [223]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286187
    F. Xu and U. Rückert, “Interference Suppression Technique for Diversity Selection Combining in an Indoor Environment”, Antennas, 2007. INICA '07. 2nd International ITG Conference on, IEEE, 2007, pp.53-57.
    PUB | DOI
     
  • [222]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145016
    J.-C. Niemann, et al., “Resource efficiency of the GigaNetIC chip multiprocessor architecture”, Journal of System Architecture, vol. 53, 2007, pp. 285-299.
    PUB | DOI | WoS
     
  • [221]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289096
    T. Kaulmann, S. Lütkemeier, and U. Rückert, “IAF Neuron Implementation for Mixed-Signal PCNN Hardware”, Proceedings of the 9th International Work-Conference on Artificial Neural Networks (IWANN), F. Sandoval, ed., Lecture notes in computer science, vol. 4507, Berlin, Heidelberg: Springer-Verlag, 2007, pp.447-454.
    PUB | DOI
     
  • [220]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289149
    J.L. Du, et al., “Multi-Robotics Experiments using Mini-Robots”, Proceedings of the 3rd International Conference on Intelligent Computing and Information Systems (ICICIS 2007), Cairo, Egypt: 2007.
    PUB
     
  • [219]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289133
    H. Ebied, U. Witkowski, and U. Rückert, “Robot Localization System Based on 2D-Color Vision Sensor”, The 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), 2-5 October 2007, Buenos Aires, Argentina., 2007.
    PUB
     
  • [218]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289049
    J.-C. Niemann, et al., “A Multiprocessor Cache for Massively Parallel SoC Architectures”, ARCS'07: Architecture of Computing Systems, P. Lukowicz, ed., Lecture Notes in Computer Science, vol. 4415, Zurich, Switzerland: Springer Berlin Heidelberg, 2007, pp.83-97.
    PUB | DOI
     
  • [217]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289102
    R. Eickhoff, T. Kaulmann, and U. Rückert, “Impact of shrinking technologies on the activation function of neurons”, Proceedings of the International Conference on Artificial Neural Networks, J.P.M. de Sá, ed., Lecture notes in computer science, vol. 4668, Berlin, Heidelberg: Springer-Verlag, 2007, pp.501-510.
    PUB | DOI
     
  • [216]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289114 OA
    J.L. Du, U. Witkowski, and U. Rückert, “A Bluetooth Scatternet for the Khepera Robot”, 4th International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), Buenos Aires, Argentina: 2007, pp.189-195.
    PUB | PDF | Download (ext.)
     
  • [215]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289121 OA
    T. Chinapirom, U. Witkowski, and U. Rückert, “Stereoscopic Camera for Autonomous Mini-Robots Applied in KheperaSot League”, FIRA Robot World Congress 2007, on CD, San Francisco, USA: 2007.
    PUB | PDF | Download (ext.)
     
  • [214]
    2007 | Konferenzband | Veröffentlicht | PUB-ID: 2285612
    U. Rückert, J. Sitte, and U. Witkowski, eds., Autonomous Minirobots for Research and Edutainment, vol. 216, Heinz Nixdorf Institut, Universität Paderborn, 2007.
    PUB
     
  • [213]
    2007 | Report | PUB-ID: 2285787
    U. Rückert, Abschlußbericht zum BMBF-Projekt: NGN-PlaNets: Platforms for Networked Services, Heinz Nixdorf Institut, Universität Paderborn, 2007.
    PUB
     
  • [212]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145075
    J. Sitte, L. Zhang, and U. Rückert, “Characterization of Analog Local Cluster Neural Network Hardware for Control”, IEEE Transactions on Neural Networks, Special Issue on Neural Networks for Feedback Control Systems, vol. 18, 2007, pp. 1242-1253.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [211]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145265
    R. Eickhoff and U. Rückert, “Robustness of radial basis functions”, Neurocomputing, vol. 70, 2007, pp. 2758-2767.
    PUB | DOI | Download (ext.) | WoS
     
  • [210]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286250
    T. Kaulmann, D. Dikmen, and U. Rückert, “A Digital Framework for Pulse Coded Neural Network Hardware with Bit-Serial Operation”, Hybrid Intelligent Systems, 2007. HIS 2007. 7th International Conference on, 2007, pp.302-307.
    PUB
     
  • [209]
    2007 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285724
    M. Köster, et al., “Defragmentation Algorithms for Partially Reconfigurable Hardware”, VLSI-SoC: From Systems to Silicon, vol. 240, 2007, pp. 41-53.
    PUB | DOI
     
  • [208]
    2007 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286265
    F. Xu and U. Rückert, “SSB: A new diversity selection combining scheme and its test-bed implementation”, Telecommunications and Malaysia International Conference on Communications, 2007. ICT-MICC 2007. IEEE International Conference on, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2007, pp.561-566.
    PUB | DOI
     
  • [207]
    2006 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2285718
    M. Porrmann, U. Witkowski, and U. Rückert, “Implementation of Self-Organizing Feature Maps in Reconfigurable Hardware”, FPGA Implementations of Neural Networks, A. Omondi and J. Rajapakse, eds., Boston, MA: Springer, 2006, pp.247-269.
    PUB | DOI
     
  • [206]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289026
    R. Eickhoff and U. Rückert, “Pareto-optimal noise and approximation properties of RBFnetworks”, Proceedings of the 16th International Conference on Artificial Neural Networks (ICANN), S. Kollias, ed., Athens, Greece: Springer Berlin Heidelberg, 2006, pp.pp.:993-1002.
    PUB | DOI
     
  • [205]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289009 OA
    A. Tanoto, et al., “Teleworkbench: An Analysis Tool for Multi-Robotic Experiments”, Proceedings of the IFIP Conference on Biologically Inspired Cooperative Computing (BICC 2006), 19th World Computer Congress (WCC), Santiago, Chile: 2006.
    PUB | PDF | Download (ext.)
     
  • [204]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289022
    F. Xu and U. Rückert, “Neighborhood Discovery and MAC Protocol for MANETs using a Low Complexity Directional Scheme”, Proceedings of World Mobile Congress (WMC’06), Beijing, China, 11-13. Sep.: 2006.
    PUB
     
  • [203]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288961
    J.-C. Niemann, et al., “GigaNetIC – A Scalable Embedded On-Chip Multiprocessor Architecture for Network Applications”, ARCS'06 Architecture of Computing Systems, W. Grass, ed., Lecture notes in computer science, vol. 3894, Berlin, Heidelberg: Springer Berlin Heidelberg, 2006, pp.268-282.
    PUB | DOI
     
  • [202]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289000
    T. Kaulmann, et al., “Universal mini-robot with micro-processor and reconfigurable hardware”, Proc. of FIRA RoboWorld Conference 2006, 2006, pp.137-142.
    PUB
     
  • [201]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288994
    U. Witkowski, T. Chinapirom, and U. Rückert, “Self-Orientation of Soccer Robots on Soccer Pitch by Identifying Pitch Lines”, Proceedings of FIRA RoboWorld Congress, Dortmund, Germany: 2006, pp.13-18.
    PUB
     
  • [200]
    2006 | Patent | Veröffentlicht | PUB-ID: 2494093
    J.-C. Niemann, et al., “Flexible Beschleunigungseinheit für die Verarbeitung von Datenpaketen”, 2006.
    PUB
     
  • [199]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2289018
    F. Xu and U. Rückert, “Neighborhood Discovery and MAC Protocol for MANETs using the Multiple-directional-antennas Scheme”, Proceedings of VDE Kongress – ITG Fachtagung 'Mobility', Aachen, Germany, 23.-25. Oct.: 2006.
    PUB
     
  • [198]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286083
    R. Eickhoff and U. Rückert, “Enhancing Fault Tolerance of Radial Basis Functions”, Neural Networks, 2006. IJCNN '06. International Joint Conference on, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2006, pp.5066-5073.
    PUB | DOI
     
  • [197]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286278
    B. Jäger, M. Porrmann, and U. Rückert, “Bio-inspired massively parallel architectures for nanotechnologies”, Proceeding of the IEEE International Symposium on Circuits and Systems (ISCAS 2006)., IEEE Circuits and Systems Society, ed., Piscataway, NJ: IEEE, 2006, pp.1961-1964.
    PUB | DOI
     
  • [196]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286350
    R. Eickhoff, T. Kaulmann, and U. Rückert, “SIRENS: A Simple Reconfigurable Neural Hardware Structure for artificial neural network implementations”, Neural Networks, 2006. IJCNN '06. International Joint Conference on, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2006, pp.2830-2837.
    PUB | DOI
     
  • [195]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288969 OA
    C. Sauer, et al., “A Lightweight NoC for the NOVA Packet Processing Plattform”, Design, Automation and Test in Europe DATE, Future Interconnect and Network-on-Chip (NoC) Workshop, Munich, Germany: 2006.
    PUB | PDF | Download (ext.)
     
  • [194]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288988 OA
    R. Eickhoff, J. Sitte, and U. Rückert, “Robust Local Cluster Neural Networks (ESANN)”, Proceedings of the 14th European Symposium on Artificial Neural Networks (ESANN), Bruges, Belgium: 2006, pp.119-124.
    PUB | PDF | Download (ext.)
     
  • [193]
    2006 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285694
    R. Eickhoff and U. Rückert, “Robustness of Radial Basis Functions”, Neurocomputing, vol. 70, 2006, pp. 2758-2767.
    PUB | DOI | WoS
     
  • [192]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288882 OA
    R. Eickhoff, et al., “Adaptable Switch boxes as on-chip routing nodes for networks-on-chip”, From Specification to Embedded Systems Application , A. Rettberg, M.C. Zanella, and F.J. Rammig, eds., IFIP On-Line Library in Computer Science, vol. 184, Boston, MA: Springer, 2005, pp.201-210.
    PUB | PDF | DOI | Download (ext.)
     
  • [191]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288910
    C. Liß, et al., “Technologieplanung in der Mikroelektronik – von Moore's Law zur Nanotechnologie-Roadmap”, Symposium fuer Vorausschau und Technologieplanung, Berlin, Germany: 2005, pp.87-103.
    PUB
     
  • [190]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288927
    U. Rückert and V. Beiu, “Neural Inspired Architectures for Nanoelectronics”, Second International Conference on intelligent Computing and Information Systems – ICICIS 2005, F. Sandoval, ed., Lecture notes in computer science, vol. 4507, Cairo, Egypt: Springer Berlin Heidelberg, 2005, pp.1-2.
    PUB | DOI
     
  • [189]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285950
    F. Xu, M. Grunewald, and U. Rückert, “A low complexity directional scheme for mobile ad hoc networks”, Personal, Indoor and Mobile Radio Communications, 2005. PIMRC 2005. IEEE 16th International Symposium on, vol. 2, IEEE, 2005, pp.1349-1353.
    PUB | DOI | Download (ext.)
     
  • [188]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288816
    A. Tanoto, U. Witkowski, and U. Rückert, “Teleworkbench: A Teleoperated Platform for Multi-Robot Experiments”, Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005), Awara-Spa, Fukui, JAPAN: Springer-Verlag, 2005.
    PUB | DOI
     
  • [187]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288823
    T. Chinapirom, U. Witkowski, and U. Rückert, “Sensornahe Signalverarbeitung mit FPGAs am Beispiel der Berechnung des optischen Flusses auf mobilen Robotern”, 3. Paderborner Workshop: Intelligente Mechatronische Systeme, Paderborn: 2005.
    PUB
     
  • [186]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288861
    T. Kaulmann, et al., “Analog VLSI Implementation of Adaptive Synapses in Pulsed Neural Networks”, Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN), J. Cabestany, A. Prieto, and D.F. Sandoval, eds., Lecture notes in computer science, vol. 3512, Berlin, Heidelberg: Springer Berlin Heidelberg, 2005, pp.455-462.
    PUB | DOI
     
  • [185]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288900
    B. Kettelhoit, et al., “Rekonfigurierbare Hardware zur Regelung mechatronischer Systeme”, 3. Paderborner Workshop: Intelligente mechatronische Systeme, 2005, pp.195-205.
    PUB
     
  • [184]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288955
    T. Chinapirom, U. Witkowski, and U. Rückert, “Universal FPGA-Microcontroller Module for Autonomous Minirobots”, AMiRE, Berlin/Heidelberg: Springer-Verlag, 2005, pp.21-26.
    PUB | DOI
     
  • [183]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286050
    M. Koester, M. Porrmann, and U. Rückert, “Placement-Oriented Modeling of Partially Reconfigurable Architectures”, Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD., 2005.
    PUB | Download (ext.)
     
  • [182]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286202
    J.L. Du, U. Witkowski, and U. Rückert, “CSD: cell-based service discovery in large-scale robot networks”, Intelligent Robots and Systems, 2005. (IROS 2005). 2005 IEEE/RSJ International Conference on, IEEE, 2005, pp.2235-2240.
    PUB | DOI
     
  • [181]
    2005 | Report | PUB-ID: 2285785
    U. Rückert, Abschlußbericht zum Projekt: Aktives Nachsichtsystem für mehr Sicherheit im Straßenverkehr, Heinz Nixdorf Institut, Universität Paderborn, 2005.
    PUB
     
  • [180]
    2005 | Sammelwerksbeitrag | Veröffentlicht | PUB-ID: 2145286
    M. Grünewald, et al., “A framework for design space exploration of resource efficient network processing on multiprocessor SoCs”, Network Processor Design: Issues and Practices, P. Crowely, et al., eds., vol. 3, Morgan Kaufmann Publisher, 2005, pp.245-277.
    PUB | DOI
     
  • [179]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288853 OA
    J.-C. Niemann, et al., “An Evaluation of the Scalable GigaNetIC Architecture for Access Networks”, Advanced Networking and Communications Hardware Workshop (ANCHOR), held in conjunction with the 32nd Annual International Symposium on Computer Architecture (ISCA 2005), 2005.
    PUB | PDF
     
  • [178]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288920
    T. Chinapirom, U. Witkowski, and U. Rückert, “Dynamic Reconfiguration of Universal FPGA-Microcontroller Module”, FIRA RoboWorld Congress 2005, Singapore: 2005.
    PUB
     
  • [177]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288932 OA
    M. Franzmeier, U. Rückert, and U. Witkowski, “Explorative Data Analysis Based on Self-Organizing Maps and Automatic Map Analysis”, Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN), J. Cabestany, A. Prieto, and D.F. Sandoval, eds., 2005, pp.725-733.
    PUB | PDF
     
  • [176]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288944
    C. Paiz, et al., “Dynamically reconfigurable hardware for digital controllers in mechatronic systems”, IEEE International Conference on Mechatronics (ICM 2005), IEEE Industrial Electronics Society, ed., Piscataway, NJ: IEEE, 2005, pp.675-680.
    PUB | DOI
     
  • [175]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288829
    B. Kettelhoit, et al., “Dynamically Reconfigurable Hardware for Self-Optimizing Mechatronic Systems”, 5. GMM/ITG/GI-Workshop Multi-Nature Systems, 2005, pp.97-101.
    PUB
     
  • [174]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288843
    M. Grünewald, F. Xu, and U. Rückert, “Increasing the Resource-Efficiency of the CSMA/CA Protocol in Directional Ad Hoc Networks”, Proceedings of the 4th International Conference on AD-HOCNetworks & Wireless, V.R. Syrotiuk, ed., Lecture notes in computer science, vol. 3738, Cancun, Mexico: Springer Berlin Heidelberg, 2005, pp.360.
    PUB | DOI
     
  • [173]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286007
    R. Eickhoff and U. Rückert, “Fault-tolerance of basis function networks using tensor product stabilizers”, Systems, Man and Cybernetics, 2005 IEEE International Conference on, vol. 3, IEEE, 2005, pp.2144-2149.
    PUB | DOI
     
  • [172]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286292
    B. Jager, J.-C. Niemann, and U. Rückert, “Analytical approach to massively parallel architectures for nanotechnologies”, Application-Specific Systems, Architecture Processors, 2005. ASAP 2005. 16th IEEE International Conference on, IEEE, 2005, pp.268-275.
    PUB | DOI
     
  • [171]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288806
    R. Eickhoff and U. Rückert, “Robustness of Radial Basis Functions”, Proceedings of the 8th International Work-Conference on Artificial Neural Networks (IWANN), J. Cabestany, A. Prieto, and D.F. Sandoval, eds., Barcelona, Spain: 2005, pp.264-271.
    PUB
     
  • [170]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288837 OA
    R. Eickhoff and U. Rückert, “Tolerance of Radial-Basis Functions Against Stuck-At-Faults”, Proceedings of the International Conference on Artificial Neural Networks (ICANN), Warsaw, Poland: 2005, pp.1003-1008.
    PUB | PDF | Download (ext.)
     
  • [169]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288875
    J.L. Du, U. Witkowski, and U. Rückert, “Teleoperation of a Mobile Autonomous Robot using Web Services”, Proceedings of the 3rd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE 2005), Fukui, Japan: Springer-Verlag, 2005.
    PUB | DOI
     
  • [168]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285904
    J.L. Du, et al., “Resource and service discovery for large-scale robot networks in disaster scenarios”, Safety, Security and Rescue Robotics, Workshop, 2005 IEEE International, Institute of Electrical and Electronics Engineers, ed., Piscataway, NJ: IEEE, 2005, pp.7-12.
    PUB | DOI
     
  • [167]
    2005 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285654
    H. Kalte, et al., “A System Approach for Partially Reconfigurable Architectures”, International Journal of Embedded Systems (IJES), Inderscience Publisher, vol. 1, 2005, pp. 274-290.
    PUB | DOI
     
  • [166]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286119
    H. Kalte, et al., “REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems”, Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005) - Reconfigurable Architectures Workshop (RAW), IEEE Computer Society, on CD., IEEE, 2005.
    PUB | DOI
     
  • [165]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286284
    M. Grosseschallau, U. Witkowski, and U. Rückert, “Low-cost Bluetooth Communication for the Autonomous Mobile Minirobot Khepera”, Robotics and Automation, 2005. ICRA 2005. Proceedings of the 2005 IEEE International Conference on, IEEE, 2005, pp.4194-4199.
    PUB | DOI
     
  • [164]
    2005 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286309
    J.-G. Niemann, M. Porrmann, and U. Rückert, “A scalable parallel SoC architecture for network processors”, VLSI, 2005. Proceedings. IEEE Computer Society Annual Symposium on, IEEE, 2005, pp.311-313.
    PUB | DOI
     
  • [163]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288768
    M. Grünewald, F. Xu, and U. Rückert, “Power Control in Directional Mobile Ad Hoc Networks”, VDE Kongress – ITG Fachtagung 'Ambient Intelligence', Berlin, Germany: 2004, pp.169-174.
    PUB
     
  • [162]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288782
    U. Witkowski and U. Rückert, “Aktives Nachtsichtsystem für autonome mobile Roboter”, VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence'., vol. 1, Berlin, Germany: 2004.
    PUB
     
  • [161]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286376
    V. Beiu, et al., “On nanoelectronic architectural challenges and solutions”, Nanotechnology, 2004. 4th IEEE Conference on, IEEE, 2004, pp.628-631.
    PUB | DOI
     
  • [160]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285912
    E. Vonnahme, et al., “Dynamic Reconfiguration of Real-Time Network Interfaces”, Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on, IEEE Computer Society. Technical Committee on Parallel Processing and Technische Universität Dresden. Technical Committee on Parallel Processing, eds., Los Alamitos, Calif. : IEEE Comput. Soc, 2004, pp.376-379.
    PUB | DOI
     
  • [159]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285962
    U. Witkowski and U. Rückert, “Pattern synchronization for associative memory in pulse coded neural networks”, Circuits and Systems, 2004. MWSCAS '04. The 2004 47th Midwest Symposium on, vol. 2, IEEE, 2004, pp.II-381-II-384.
    PUB | DOI
     
  • [158]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288708
    H. Kalte, M. Porrmann, and U. Rückert, “Leistungsbewertung unterschiedlicher Einbettungsvarianten dynamisch rekonfigurierbarer Hardware”, ARCS 2004 – Organic and Pervasive Computing, 2004, pp.234-244.
    PUB
     
  • [157]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288760
    E. Vonnahme, et al., “Dynamische Rekonfiguration echtzeitfähiger Netzwerkschnittstellen”, VDE Kongress 2004 – ITG Fachtagung 'Ambient Intelligence', Berlin, Germany: VDE Verlag, 2004, pp.99-104.
    PUB
     
  • [156]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288796
    Z. Minchev, et al., “Fuzzy Logic Based Intelligent Motion Control of Robots Swarm Simulated by Khepera Robots”, IEEE International Conference on Intelligent Systems, IEEE Instrumentation and Measurement Society, ed., Piscataway, NJ: IEEE, 2004, pp.305-310.
    PUB | DOI
     
  • [155]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286101
    M. Grunewald, et al., “A mapping strategy for resource-efficient network processing on multiprocessor SoCs”, Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings, European Design Automation Association, ed., vol. 2, Los Alamitos, Calif. : IEEE Comput. Soc, 2004, pp.758-763.
    PUB | DOI
     
  • [154]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286233
    H. Kalte, et al., “Study on column wise design compaction for reconfigurable systems”, Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on, IEEE Electron Devices Society and School of Information Technology and Electrical Engineering, eds., Piscataway, NJ: IEEE, 2004, pp.413-416.
    PUB | DOI
     
  • [153]
    2004 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145314
    B. Iske, B. Jäger, and U. Rückert, “A Ray-Tracing Approach for Simulating Recognition Abilities of Active Infrared Sensor Arrays”, IEEE Sensors Journal, vol. 4, 2004, pp. 237-247.
    PUB | DOI
     
  • [152]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288714 OA
    A. Brinkmann, et al., “V:Drive – Costs and Benefits of an Out-of-Band Storage Virtualization System”, Proceedings of the 12th NASA Goddard, 21st IEEE Conference on Mass Storage Systems and Technologies (MSST), College Park, Maryland, USA: 2004, pp.153-157.
    PUB | PDF
     
  • [151]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288776
    J.-C. Niemann, M. Porrmann, and U. Rückert, “Parallele Architekturen für Netzwerkprozessoren”, Ambient Intelligence, VDE Kongress, vol. 1, VDE Verlag, 2004, pp.105-110.
    PUB | Download (ext.)
     
  • [150]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288788
    T. Chinapirom, et al., “Visual Object Recognition by 2D-Color Camera and On-Board Information Processing for Minirobots”, Proceedings of the FIRA Robot World Congress, Busan, South Korea: 2004.
    PUB
     
  • [149]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288730
    H. Kalte, et al., “A Comparative Study on System Approaches for Partially Reconfigurable Architectures”, Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04), T. Plaks, ed., Las Vegas, Nevada, USA: CSREA Press, 2004, pp.70-76.
    PUB
     
  • [148]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288742
    B. Griese, et al., “Hardware Support for Dynamic Reconfiguration in Reconfigurable SoC Architectures”, Proceedings of the 14th International Conference on Field Programmable Logic and its Applications (FPL2004), J. Becker, ed., Lecture notes in computer science, vol. 3203, Antwerp, Belgium: Springer Berlin Heidelberg, 2004, pp.842-846.
    PUB | DOI
     
  • [147]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286146
    M. Grunewald, et al., “Network application driven instruction set extensions for embedded processing clusters”, Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on, IEEE Computer Society. Technical Committee on Parallel Processing and Technische Universität Dresden. Technical Committee on Parallel Processing, eds., Los Alamitos, Calif. : IEEE Comput. Soc, 2004, pp.209-214.
    PUB | DOI
     
  • [146]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288700
    M. Grünewald, et al., “A framework for design space exploration of resource efficient network processing on multiprocessor SoCs”, Proceedings of the 3rd Workshop on Network Processors & Applications, Madrid, Spain: 2004, pp.87-101.
    PUB
     
  • [145]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288750
    U. Witkowski, et al., “Cooperating autonomous and mobile minirobots in dynamic environments”, International Federation of Automatic Control – IFAC – DECOM-TT, Bansko, Bulgaria: 2004.
    PUB
     
  • [144]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285942
    M. Franzmeier, et al., “Hardware Accelerated Data Analysis”, Parallel Computing in Electrical Engineering, 2004. PARELEC 2004. International Conference on, IEEE Computer Society. Technical Committee on Parallel Processing and Technische Universität Dresden. Technical Committee on Parallel Processing, eds., Los Alamitos, Calif. : IEEE Comput. Soc, 2004, pp.309-314.
    PUB | DOI
     
  • [143]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286356
    H. Kalte, M. Porrmann, and U. Rückert, “System-on-programmable-chip approach enabling online fine-grained 1D-placement”, Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International, IEEE, 2004, pp.141.
    PUB | DOI
     
  • [142]
    2004 | Report | PUB-ID: 2285779
    U. Rückert, Abschlußbericht zum BMBF-Projekt: GigaNet-IC: Netzwerktechnik der nächsten Generation, Heinz Nixdorf Institut, Universität Paderborn, 2004.
    PUB
     
  • [141]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286044
    C. Loeser, A. Brinkmann, and U. Rückert, “Distributed path selection (DPS) a traffic engineering protocol for IP-networks”, System Sciences, 2004. Proceedings of the 37th Annual Hawaii International Conference on, IEEE, 2004, pp.8.
    PUB | DOI
     
  • [140]
    2004 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286138
    C. Pohl, et al., “gNBX - reconfigurable hardware acceleration of self-organizing maps”, Field-Programmable Technology, 2004. Proceedings. 2004 IEEE International Conference on, IEEE Electron Devices Society and School of Information Technology and Electrical Engineering, eds., Piscataway, NJ: IEEE, 2004, pp.97-104.
    PUB | DOI
     
  • [139]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288617
    U. Witkowski, M. Bandyk, and U. Rückert, “Long-running Experiments using the Minirobot Khepera with Automatic Charging Station”, Proc. of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment AMiRE03, Brisbane, Australia: 2003, pp.249-252.
    PUB
     
  • [138]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288631
    B. Iske, S. Schlößer, and U. Rückert, “Resolution Analysis of Infrared Sensor Arrays”, Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment (AMiRE), Brisbane, Australia: 2003, pp.153-162.
    PUB
     
  • [137]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288667 OA
    M. Grünewald, et al., “Communication Between Khepera Mini Robots For Cooperative Positioning”, Proceedings of the International Conference Automatics and Informatics’03, vol. 1, Sofia, Bulgaria: 2003, pp.95-98.
    PUB | PDF
     
  • [136]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288681 OA
    O. Manolov, et al., “Gard – An Intelligent System for Distributed Exploration of Landmine Fields Simulated by a Team of Khepera Robots”, Proceedings of the International Conference Automatics and Informatics’03, vol. 1, Sofia, Bulgaria: 2003, pp.199-202.
    PUB | PDF
     
  • [135]
    2003 | Konferenzband | Veröffentlicht | PUB-ID: 2285753
    U. Rückert, J. Sitte, and U. Witkowski, eds., Autonomous Minirobots for Research and Edutainment, AMIRE Int. Conf., 2003.
    PUB
     
  • [134]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288645 OA
    M. Grünewald and U. Rückert, “A Khepera communication module supporting directed power-variable transmission”, Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment, Brisbane, Australia: 2003, pp.243-244.
    PUB | PDF
     
  • [133]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286024
    O. Bonorden, et al., “A holistic methodology for network processor design”, Local Computer Networks, 2003. LCN '03. Proceedings. 28th Annual IEEE International Conference on, IEEE, 2003, pp.583-592.
    PUB | DOI
     
  • [132]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285956
    M. Grunewald, J.-C. Niemann, and U. Rückert, “A performance evaluation method for optimizing embedded applications”, System-on-Chip for Real-Time Applications, 2003. Proceedings. The 3rd IEEE International Workshop on, IEEE Comput. Soc, 2003, pp.10-15.
    PUB | DOI
     
  • [131]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288625
    J. Klahold, H. Jürgens, and U. Rückert, “Neural Object Classification Using Ultrasonic Spectrum Analysis”, Proceedings of the 2nd International Symposium on Autonomous Minirobots for Research and Edutainment (AMiRE), Brisbane, Australia: 2003, pp.219-228.
    PUB
     
  • [130]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288637
    M. Grünewald, et al., “Directed power-variable infrared communication for the mini robot Khepera”, Proceedings of the 2nd International Conference on Autonomous Minirobots for Research and Edutainment, Brisbane, Australia: 2003, pp.113-122.
    PUB
     
  • [129]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288649 OA
    A. Brinkmann, et al., “Storage Management as Means to cope with Exponential Information Growth”, Proceedings of SSGRR 2003, L'Aquila, Italy: 2003.
    PUB | PDF
     
  • [128]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288665
    U. Rückert, “Mediatronics – Things That Communicate And Cooperate”, Proceedings of the International Conference Automatics and Informatics’03, vol. 1, Sofia, Bulgaria: 2003, pp.9-12.
    PUB
     
  • [127]
    2003 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288661
    U. Witkowski and U. Rückert, “Development and Incorporation of Elementary Soccer Strategies for the Khepera Mini Robot”, Proc. of the FIRA Robot World Congress 2003, Vienna, Austria: 2003.
    PUB
     
  • [126]
    2003 | Monographie | Veröffentlicht | PUB-ID: 2285644
    U. Witkowski and U. Rückert, Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps, Intelligent Robots: Vision, Learning and Interaction, KAIST Press, 2003.
    PUB
     
  • [125]
    2003 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145324
    M. Porrmann, U. Witkowski, and U. Rückert, “A Massively Parallel Architecture for Self-Organizing Feature Maps”, IEEE Transactions on Neural Networks, Special Issue on Hardware Implementations, vol. 14, 2003, pp. 1110-1121.
    PUB | DOI | WoS | PubMed | Europe PMC
     
  • [124]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288585
    U. Witkowski and U. Rückert, “Positioning System for the Minirobot Khepera based on Self-organizing Feature Maps”, Proceedings of 2002 FIRA Robot World Congress, COEX, Seoul, Korea: 2002, pp.463-468.
    PUB
     
  • [123]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288597
    B. Iske, A. Löffler, and U. Rückert, “A Direction Sensitive Network Based on a Biophysical Neurone Model”, Artificial Neural Networks- ICANN 2002, J.R. Dorronsoro, ed., Lecture notes in computer science, vol. 2415, Madrid, Spain: Springer-Verlag, 2002, pp.153-159.
    PUB | DOI
     
  • [122]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286112
    J. Klahold, J. Rautenberg, and U. Rückert, “Continuous sonar sensing for mobile mini-robots”, Robotics and Automation, 2002. Proceedings. ICRA '02. IEEE International Conference on, vol. 1, Piscataway, NJ: IEEE, 2002, pp.323-328.
    PUB | DOI
     
  • [121]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286093
    B. Iske, B. Jager, and U. Rückert, “A ray-tracing approach for simulating recognition abilities of active infrared sensor arrays”, Sensors, 2002. Proceedings of IEEE, Sensors Council, ed., vol. 2, Piscataway, NJ: IEEE, 2002, pp.1227-1232.
    PUB | DOI
     
  • [120]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286322
    H. Kalte, et al., “Dynamically reconfigurable system-on-programmable-chip”, Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on, IEEE Comput. Soc, 2002, pp.235-242.
    PUB | DOI
     
  • [119]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288575
    H. Kalte, M. Porrmann, and U. Rückert, “A Prototyping Platform for Dynamically Reconfigurable System on Chip Designs”, Proceedings of the IEEE Workshop Heterogeneous reconfigurable Systems on Chip (SoC), Hamburg, Germany: 2002.
    PUB
     
  • [118]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2145340
    A. Heittmann and U. Rückert, “Mixed Mode VLSI Implementation of a Neural Associative Memory”, Analog Integrated Circuits and Signal Processing, vol. 30, 2002, pp. 159-172.
    PUB | DOI
     
  • [117]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288581
    U. Rückert and M. Schmidt, “Neural Associative Memory For Content-Based Information Retrieval”, Proceedings of the First International Conference on Intelligent Computing and Information Systems, ICICIS, Cairo, Egypt: 2002, pp.2-32.
    PUB
     
  • [116]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288603 OA
    M. Porrmann, et al., “A Reconfigurable SOM Hardware Accelerator”, 10th European Symposium on Artificial Neural Networks, 2002.
    PUB | PDF
     
  • [115]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286057
    D. Langen and U. Rückert, “Extending scaling theory by adequately considering velocity saturation”, ASIC/SOC Conference, 2002. 15th Annual IEEE International, IEEE, 2002, pp.145-149.
    PUB | DOI
     
  • [114]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288565 OA
    D. Langen, et al., “Implementation of a RISC Processor Core for SoC Designs – FPGA Prototype vs. ASIC Implementation”, Proceedings of the IEEE-Workshop: Heterogeneous reconfigurable Systems on Chip (SoC), Hamburg, Germany: 2002.
    PUB | PDF
     
  • [113]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288589
    M. Porrmann, et al., “Dynamically Reconfigurable Hardware – A New Perspective for Neural Network Implementations”, Proceedings of the International Conference on Field Programmable Logic and Applications (FPL2002), M. Glesner, ed., Lecture notes in computer science, vol. 2438, Montpellier, France: Springer Berlin Heidelberg, 2002, pp.1048-1057.
    PUB | DOI
     
  • [112]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285618
    U. Rückert, “ULSI Architectures for Artificial Neural Networks”, IEEE Micro, vol. 22, 2002, pp. 10-19.
    PUB | DOI | WoS
     
  • [111]
    2002 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285620 OA
    M. Schäfer, et al., “Simulation of Spiking Neural Networks – Architectures and Implementations”, Neurocomputing, vol. 48, 2002, pp. 647-679.
    PUB | PDF
     
  • [110]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285896
    M. Porrmann, et al., “Implementation of artificial neural networks on a reconfigurable hardware accelerator”, Parallel, Distributed and Network-based Processing, 2002. Proceedings. 10th Euromicro Workshop on, IEEE Comput. Soc, 2002, pp.243-250.
    PUB | DOI
     
  • [109]
    2002 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285966
    A. Brinkmann, et al., “On-chip interconnects for next generation system-on-chips”, ASIC/SOC Conference, 2002. 15th Annual IEEE International, IEEE, 2002, pp.211-215.
    PUB | DOI
     
  • [108]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288504 OA
    J. Klahold, J. Rautenberg, and U. Rückert, “Ultrasonic Sensor for Mobile Mini-Robots Using Pseudo-Random Codes”, Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01), U. Rückert, J. Sitte, and U. Witkowski, eds., vol. 97, Heinz Nixdorf Institut, Universität Paderborn, 2001, pp.225-232.
    PUB | PDF
     
  • [107]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288467
    B. Iske and U. Rückert, “Performance Analysis of a Colony of Locally Communicating Robots”, Autonomous Mini Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium, 2001, pp.253-260.
    PUB
     
  • [106]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288549
    M. Porrmann, S. Rüping, and U. Rückert, “The Impact of Communication on Hardware Accelerators for Neural Networks”, Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), vol. 3, Orlando, Florida, USA: 2001, pp.248-253.
    PUB
     
  • [105]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288492
    J. Klahold, J. Rautenberg, and U. Rückert, “Demonstration of an Ultrasonic Sensor for Mobile Minirobots Using Pseudo-Random Codes”, Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01), U. Rückert, J. Sitte, and U. Witkowski, eds., vol. 97, Heinz Nixdorf Institut, Universität Paderborn, 2001, pp.335-336.
    PUB
     
  • [104]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288555 OA
    J.-C. Niemann, et al., “Extension Module for Application-Specific Hardware on the Minirobot Khepera”, Autonomous Minirobots for Research and Edutainment (AMiRE 2001), Paderborn, Germany: 2001, pp.279-288.
    PUB | PDF
     
  • [103]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288451
    U. Witkowski, A. Heittmann, and U. Rückert, “Hardware Implementation of Self-Organizing Maps and Associative Memory on the Minirobot Khepera”, Autonomous Minirobots for Research and Edutainment – AMiRE 2001, Paderborn, Germany: 2001, pp.269-278.
    PUB
     
  • [102]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288463
    B. Iske and U. Rückert, “Cooperative Cube Clustering using Local Communication”, Autonomous Robots for Research and Edutainment – AMiRE 2001, Proceedings of the 5th International Heinz Nixdorf Symposium, 2001, pp.333-334.
    PUB
     
  • [101]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288475 OA
    A. Löffler, J. Klahold, and U. Rückert, “The Mini-Robot Khepera as a Foraging Animate: Synthesis and Analysis of Behaviour”, Proceedings of the 5th International Heinz Nixdorf Symposium: Autonomous Minirobots for Research and Edutainment (AMiRE01), U. Rückert, J. Sitte, and U. Witkowski, eds., vol. 97, Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn, 2001, pp.93-130.
    PUB | PDF
     
  • [100]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288526
    M. Porrmann, et al., “XipChip – A Multiprocessor CPU for Multifunction Peripherals”, Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics (SCI), vol. 15, Orlando, Florida, USA: 2001, pp.512-517.
    PUB
     
  • [99]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288457
    R. Hunstock, U. Rückert, and T. Hanna, “Implementation and Analysis of Mobile Agents in a Simulation Environment for Fieldbus Systems”, Proccedings of the 2001 International Conference on Intelligent Agent Technology (IAT-01), Maebashi, Japan: 2001, pp.484-489.
    PUB | Download (ext.)
     
  • [98]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2288539
    M. Porrmann, et al., “A Dynamically Reconfigurable Hardware Accelerator for Self-Organizing Feature Maps”, Proceedings of The 5th World Multi-Conference on Systemics, Cybernetics and Informatics, SCI 2001, vol. 3, Orlando, Florida, USA: 2001, pp.242-247.
    PUB
     
  • [97]
    2001 | Konferenzband | Veröffentlicht | PUB-ID: 2285736
    U. Rückert, J. Sitte, and U. Witkowski, eds., Autonomous Minirobots for Research and Edutainment, vol. 97, Heinz Nixdorf Institut, Universität Paderborn, 2001.
    PUB
     
  • [96]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285818
    B. Iske and U. Rückert, “A methodology for behaviour design of autonomous systems”, Intelligent Robots and Systems, 2001. Proceedings. 2001 IEEE/RSJ International Conference on, vol. 1, Piscataway, NJ: IEEE, 2001, pp.539-544.
    PUB | DOI
     
  • [95]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285832
    U. Rückert, “ULSI architectures for artificial neural networks”, Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on, vol. 22, Institute of Electrical and Electronics Engineers (IEEE), 2001, pp.436-442.
    PUB | DOI | WoS
     
  • [94]
    2001 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285870
    M. Schmidt and U. Rückert, “Content-based information retrieval using an embedded neural associative memory”, Parallel and Distributed Processing, 2001. Proceedings. Ninth Euromicro Workshop on, IEEE Comput. Soc, 2001, pp.443-450.
    PUB | DOI
     
  • [93]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286162
    E. Vonnahme, S. Ruping, and U. Rückert, “Measurements in switched Ethernet networks used for automation systems”, Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on, IEEE, 2000, pp.231-238.
    PUB | DOI
     
  • [92]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286256
    B. Iske, et al., “A bootstrapping method for autonomous and in site learning of generic navigation behaviour”, Pattern Recognition, 2000. Proceedings. 15th International Conference on, vol. 4, IEEE Comput. Soc, 2000, pp.656-659.
    PUB | DOI
     
  • [91]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286566
    H. Kalte, M. Porrmann, and U. Rückert, “Rapid Prototyping System für dynamisch rekonfigurierbare Hardwarestrukturen”, Workshop: Architekturentwurf und Entwicklung eingebetteter Systeme (AES2000), Karlsruhe, Germany: 2000, pp.149-157.
    PUB
     
  • [90]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286578
    A. Brinkmann, D. Langen, and U. Rückert, “A Rapid Prototyping Environment for Microprocessor based System-on-Chips and its Application to the Development of a Network Processor”, Proceedings of the 10th International Conference on Field Programmable Logic and Applications (FPL 2000), Villach, Austria: Springer Berlin Heidelberg, 2000, pp.838-841.
    PUB | DOI
     
  • [89]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285890
    R. Hunstock, S. Ruping, and U. Rückert, “A distributed simulator for large networks used in building automation systems”, Factory Communication Systems, 2000. Proceedings. 2000 IEEE International Workshop on, IEEE, 2000, pp.203-210.
    PUB | DOI
     
  • [88]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286590 OA
    A. Brinkmann, D. Langen, and U. Rückert, “Aktive Router: Ein Hardwarekonzept für Storage Area Networks”, Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik, Darmstadt, Germany: 2000, pp.41-46.
    PUB | PDF
     
  • [87]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286370
    D. Langen, A. Brinkmann, and U. Rückert, “High level estimation of the area and power consumption of on-chip interconnects”, ASIC/SOC Conference, 2000. Proceedings. 13th Annual IEEE International, IEEE, 2000, pp.297-301.
    PUB | DOI
     
  • [86]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286572
    H. Kalte, M. Porrmann, and U. Rückert, “Using a Dynamically Reconfigurable System to Accelerate Octree Based 3D Graphics”, Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA´2000), vol. 5, Monte Carlo Resort, Las Vegas, Nevada, USA: 2000, pp.2819-2824.
    PUB
     
  • [85]
    2000 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286584 OA
    D. Langen, A. Brinkmann, and U. Rückert, “Abschätzung des Flächen- und Energieverbrauchs von Verbindungsstrukturen auf einem Chip”, Proceedings of the ITG Workshop Mikroelektronik für die Informationstechnik, Darmstadt, Germany: 2000, pp.247-252.
    PUB | PDF
     
  • [84]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286554
    J. Klahold, A. Löffler, and U. Rückert, “Discrete Ultrasonic Sensors for Mobile Autonomous Systems”, Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99), A. Löffler, F. Mondada, and U. Rückert, eds., vol. 64, Heinz Nixdorf Institut, Universität Paderborn, 1999, pp.171-180.
    PUB
     
  • [83]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285828
    A. Heittmann and U. Rückert, “Mixed mode VLSI implementation of a neural associative memory”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.299-306.
    PUB | DOI
     
  • [82]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286315
    M. Porrmann, S. Ruping, and U. Rückert, “SOM hardware with acceleration module for graphical representation of the learning process”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.380-386.
    PUB | DOI
     
  • [81]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286512
    U. Witkowski, A. Heittmann, and U. Rückert, “Implementation of Application Specific Neural Hardware on the Mini Robot Khepera”, Proceedings of the 1st International Khepera Workshop, vol. 64, Paderborn, Germany: Heinz Nixdorf Institut, Universität Paderborn, 1999, pp.189-196.
    PUB
     
  • [80]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286127
    U. Witkowski, T. Neumann, and U. Rückert, “Digital hardware realization of a hyper basis function network for on-line learning”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.205-211.
    PUB | DOI
     
  • [79]
    1999 | Report | PUB-ID: 2285763
    H. Grotstollen, et al., Lernfähige, selbsteinstellende Antriebsregelung mit Hilfe neuronaler Hardware, Projekt GR 948/14 im DFG Programm: Systemintegration elektrischer Antrieb, 1999.
    PUB
     
  • [78]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285822
    T. Korner, J. Sitte, and U. Rückert, “An analog local cluster neural net for a 3 V supply”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.292-298.
    PUB | DOI
     
  • [77]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285834
    C. Wolff, G. Hartmann, and U. Rückert, “ParSPIKE-a parallel DSP-accelerator for dynamic simulation of large spiking neural networks”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.324-331.
    PUB | DOI
     
  • [76]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286344
    A. Loffler, J. Klahold, and U. Rückert, “Artificial neural networks for autonomous robot control: reflective navigation and adaptive sensor calibration”, Neural Information Processing, 1999. Proceedings. ICONIP '99. 6th International Conference on, vol. 2, IEEE, 1999, pp.667-672.
    PUB | DOI
     
  • [75]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286546
    A. Löffler, et al., “Demonstration of a Visualization Tool for the Mini-Robot Khepera”, Web publication of the 5th International European Conference on Artificial Life (ECAL), Lausanne, Switzerland: 1999.
    PUB
     
  • [74]
    1999 | Konferenzband | Veröffentlicht | PUB-ID: 2285604
    A. Löffler, F. Mondada, and U. Rückert, eds., Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera, vol. 64, Heinz Nixdorf Institut, Universität Paderborn, 1999.
    PUB
     
  • [73]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285983
    A. Loffler, et al., “Implementing Neural Soft- And Hardware On The Autonomous Mini-robot Khepera”, Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, 1999. MicroNeuro '99. Proceedings of the Seventh International Conference on, IEEE Comput. Soc, 1999, pp.425-426.
    PUB | DOI
     
  • [72]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286518
    S. Rüping, et al., “Khepera Module for Wireless Infrared CAN Communication”, Proceedings of the 1st International Khepera Workshop: Experiments with the Mini-Robot Khepera (IKW99), A. Löffler, F. Mondada, and U. Rückert, eds., vol. 64, Paderborn, Germany: 1999, pp.181-187.
    PUB
     
  • [71]
    1999 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286532 OA
    A. Löffler, et al., “A Visualization Tool for the Mini-Robot Khepera: Behaviour Analysis and Optimization”, Proceedings of the 5th International European Conference on Artificial Life (ECAL99), D. Floreano, J.-D. Nicoud, and F. Mondada, eds., vol. 1674, Lausanne, Switzerland: Springer-Verlag, 1999, pp.329-333.
    PUB | PDF
     
  • [70]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286468
    M. Porrmann, et al., “A Hybrid Knowledge Processing System”, Proceedings of the Conference Neural Networks and their Applications (NEURAP), Marseille, France: 1998, pp.177-184.
    PUB
     
  • [69]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286502
    U. Rückert and U. Witkowski, “Silicon Artificial Neural Networks”, Proceedings of the Conference on Artificial Neural Networks, ICANN´98, L. Niklasson, M. Bodén, and T. Ziemke, eds., Skövde, Sweden: Springer-Verlag, 1998, pp.75-84.
    PUB
     
  • [68]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285592
    S. Rüping, M. Porrmann, and U. Rückert, “SOM Accelerator System”, Neurocomputing, vol. 21, 1998, pp. 31-50.
    PUB | Download (ext.)
     
  • [67]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285586
    U. Rückert, “Hardware-Realisierung neuronaler Netze”, Göttinger Informatik Kolloquium – Vorträge aus den Jahren 1996/97, O. Haan, ed., Göttingen, Germany: 1998, pp.37-54.
    PUB
     
  • [66]
    1998 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285598
    J. Sitte, T. Körner, and U. Rückert, “Local Cluster Neural Net: Analog VLSI Design”, Neurocomputing, vol. 19, 1998, pp. 185-197.
    PUB
     
  • [65]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286476
    B. Fuchs, et al., “Autonome EKG-Elektrode zur kabellosen Patientenüberwachung”, Mikroelektronik für die Informationstechnik, Hannover, Germany: 1998, pp.137-140.
    PUB
     
  • [64]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286455
    S. Rüping, W. Lücking, and U. Rückert, “A Wireless Communication System for Khepera Micro-Robots using CAN”, Proceedings of the European Telemetry Conference (ETC98), Garmisch-Partenkirchen,Germany: 1998, pp.100-108.
    PUB
     
  • [63]
    1998 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286486 OA
    A. Löffler, J. Klahold, and U. Rückert, “The Dynamical Nightwatch's Problem Solved by the Autonomous Micro-Robot Khepera”, Selected Papers of the 3rd European Conference on Artificial Evolution (AE97), J.-K. Hao, et al., eds., vol. 1363, Nimes, France: Springer-Verlag, 1998, pp.303-313.
    PUB | PDF
     
  • [62]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286390
    F. Schütte, et al., “Structure- and Parameter Identification for a Two-Mass-System With Backlash and Friction Using a Self-Organizing Map”, 7th European Conference on Power Electronics and Applications, EPE97, vol. 3, Trondheim, Norway: 1997, pp.3358-3363.
    PUB
     
  • [61]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286408
    T. Körner, et al., “An Analog Current Mode VLSI Local Cluster Neural Net”, Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems, H. Klar, A. König, and U. Ramacher, eds., Dresden, Germany: IEEE, 1997, pp.257-262.
    PUB | DOI
     
  • [60]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286422
    T. Körner, et al., “Implementation of a Locally Recurrent Neural Network for Speech Recognition”, Proceedings of the 6th International Conference on Microelectronics for Neural Networks, Evolutionary and Fuzzy Systems, H. Klar, A. König, and U. Ramacher, eds., Dresden, Germany: 1997, pp.50-55.
    PUB
     
  • [59]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286441
    A. Heittmann, et al., “Digital VLSI Implementation of a Neural Associative Memory”, Proceedings of the 6th International Conference on Microelectronics for Neural Network, Evolutionary and Fuzzy Systems, H. Klar, A. König, and U. Ramacher, eds., Dresden, Germany: 1997, pp.280-285.
    PUB
     
  • [58]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286012
    U. Witkosski, et al., “System identification using selforganizing feature maps”, Artificial Neural Networks, Fifth International Conference on (Conf. Publ. No. 440), IEE, 1997, pp.100-105.
    PUB | DOI
     
  • [57]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286384 OA
    S. Rüping, M. Porrmann, and U. Rückert, “A High Performance SOFM Hardware-System”, Proceedings of the International Work-Conference on Artificial and Natural Neural Networks (IWANN´97), Lanzarote, Spain: 1997, pp.772-781.
    PUB | PDF | Download (ext.)
     
  • [56]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285884
    J. Sitte, T. Korner, and U. Rückert, “An analog-current mode local cluster neural net”, Emerging Technologies and Factory Automation Proceedings, 1997. ETFA '97., 1997 6th International Conference on, IEEE, 1997, pp.237-242.
    PUB | DOI
     
  • [55]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286402 OA
    S. Rüping, M. Porrmann, and U. Rückert, “SOM Hardware-Accelerator”, Workshop on Self-Organizing Maps (WSOM), Espoo, Finnland: 1997, pp.136-141.
    PUB | PDF | Download (ext.)
     
  • [54]
    1997 | Report | Veröffentlicht | PUB-ID: 2285584
    U. Rückert, Integrationsgerechte parallele Systemkonzepte unter Ausnutzung spärlicher Interaktion am Beispiel neuronaler Netze, Heinz Nixdorf Institut, 1997.
    PUB
     
  • [53]
    1997 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2286241
    M. Porrmann, et al., “HIBRIC-MEM, a Memory Controller for PowerPC Based Systems”, Proceedings of the 23rd EUROMICRO Conference, Budapest, Ungarn: IEEE Comput. Soc, 1997, pp.653-663.
    PUB | DOI
     
  • [52]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285568
    U. Rückert, “Hardwareimplementierung Neuronaler Netze”, Konnektionismus und Neuronale Netze Beiträge zur Herbstschule (HeKoNN96), 1996, pp.53-64.
    PUB
     
  • [51]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285570
    S. Rüping and U. Rückert, “A Scalable Processor Array for Self-Organizing Feature Maps”, Proceedings of the 6th International Conference on Microelectronics for Neural Networks and Fuzzy Systems (MicroNeuro), Lausanne, Switzerland: IEEE Computer Society Press, 1996.
    PUB | DOI
     
  • [50]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285575
    G. Palm, et al., “Neuronale Assoziativspeicher”, Neuroinformatik Statusseminar, 1996, pp.419-432.
    PUB
     
  • [49]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285554
    M. Hartung, K. Goser, and U. Rückert, “The associative matrix as a concept for intelligent memory chips”, Proceedings of the Conference on Neural Networks and their Applications (NEURAP), Marseilles, France: 1996, pp.111-116.
    PUB
     
  • [48]
    1996 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285560
    S. Rüping, et al., “Diagnosis-Systems with Selforganizing Feature Maps and Fuzzy-Logic”, Proceedings of the Conference on Neural Networks and their Applications (NEURAP), Marseilles, France: 1996, pp.251-258.
    PUB
     
  • [47]
    1995 | Report | Veröffentlicht | PUB-ID: 2285532
    U. Rückert and K. Goser, Wissensverarbeitung in neuronaler Architektur, Universität Dortmund und Universität Paderborn, 1995.
    PUB
     
  • [46]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285538
    T. Körner, et al., “VLSI friendly neural network with localied transfer functions”, Proceedings of the IEEE International Conference on Neural Networks, vol. 1, Perth, Australia: 1995, pp.169-174.
    PUB
     
  • [45]
    1995 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285536
    U. Rückert, “Hardwareimplementierung Neuronaler Netze”, Konnektionismus und Neuronale Netze, 1995, pp.147-158.
    PUB
     
  • [44]
    1995 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285548
    S. Rüping, K. Goser, and U. Rückert, “A Chip for Selforganizing Feature Maps”, IEEE Micro, vol. 15, 1995, pp. 57-59.
    PUB | DOI
     
  • [43]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285498
    S. Rüping, U. Rückert, and K. Goser, “A Chip for Selforganizing Feature Maps”, Proceedings of the 4th International Conference on Microelectronics for Neural Networks and Fuzzy Systems, IEEE Computer Society Press, 1994, pp.26-33.
    PUB | DOI
     
  • [42]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285507
    U. Rückert, “Hardwareimplementierung Neuronaler Netze”, Konnektionismus und Neuronale Netze, 1994, pp.117-128.
    PUB
     
  • [41]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285521 OA
    U. Rückert, S. Rüping, and E. Naroska, “Parallel Implementation of Neural Associative Memories on RISC Processors”, VLSI for Neural Networks and Artificial Intelligence, J.G. Delgado-Frias and W.R. Moore, eds., New York: Plenum Press, 1994, pp.167-176.
    PUB | PDF
     
  • [40]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285504
    U. Rückert, “A Hybrid Knowledge Processing Architecture”, Proceedings of the 2nd IEE International Conference on Intelligent Systems Engineering, Norwich, UK: IEE, 1994, pp.372-377.
    PUB | DOI
     
  • [39]
    1994 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285509
    G. Palm, et al., “Knowledge Processing in Neural Architecture”, VLSI for Neural Networks and Artificial Intelligence, J.G. Delgado-Frias and W.R. Moore, eds., New York: Plenum Press, 1994, pp.207-216.
    PUB
     
  • [38]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285462
    U. Rückert, “Microelectronic Implementation of Neural Networks”, Aachener Beiträge zur Informatik, vol. 3, 1993, pp.77-86.
    PUB
     
  • [37]
    1993 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2285486
    U. Rückert, A. Funke, and C. Pintaske, “Acceleratorboard for Neural Associative Memories”, Neurocomputing, vol. 5, 1993, pp. 39-49.
    PUB | Download (ext.)
     
  • [36]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285478
    U. Rückert, L. Spaanenburg, and J. Anlauf, “Hardware-Implementierung Künstlicher Neuronaler Netze”, atp – Automatisierungstechnische Praxis, 1993, pp.414-420.
    PUB
     
  • [35]
    1993 | Patent | Veröffentlicht | PUB-ID: 2285492
    K.M. Marks, U. Rückert, and H.-M. Staudt von, “Verfahren und Einrichtung zur fehlercodierenden Datenübertragung”, 1993.
    PUB
     
  • [34]
    1993 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285464 OA
    S. Rüping, U. Rückert, and K. Goser, “Hardware Design for Selforganizing Feature Maps with Binary Inputs”, New Trends in Neural Computation, J. Mira, J. Cabestany, and A. Prieto, eds., vol. 686, Berlin, Germany: Springer-Verlag, 1993, pp.488-493.
    PUB | PDF | Download (ext.)
     
  • [33]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285425
    U. Rückert and K. Goser, “VLSI-Bausteine für neuronale Assoziativ-speicher mit Echtzeit-Anwendungen”, Mikroelektronik für die Informationstechnik, Berlin, Germany: VDE-Verlag, 1992, pp.189-194.
    PUB
     
  • [32]
    1992 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285432
    U. Rückert, et al., “Mikroelektronik der künstlichen neuronalen Netze und der Fuzzy-Systeme”, 20 Jahre Fakultät für Elektrotechnik, Universität Dortmund, Germany: 1992, pp.71-79.
    PUB
     
  • [31]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285316
    P. Schulz and U. Rückert, “Implementierung Neuronaler Assoziativ-speicher auf Transputer-Netzwerken”, TOOL91/ RISC91, Karlsruhe, Germany: 1991, pp.745-756.
    PUB
     
  • [30]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285335
    U. Rückert, B. Czaicki, and D. Heimann, “Distributed Simulation of Co-operating Neural Networks a Local Area Computer Network”, Microelectronics for Neural Networks, U. Ramacher, ed., München, Germany: Kyrill & Method Verlag, 1991, pp.417-422.
    PUB
     
  • [29]
    1991 | Monographie | Veröffentlicht | PUB-ID: 2280937
    U. Ramacher and U. Rückert, VLSI Design of Neural Networks, Boston: Kluwer Academic Publishers, 1991.
    PUB
     
  • [28]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285343
    G. Palm, U. Rückert, and A. Ultsch, “Wissensverarbeitung in neuronaler Architektur”, Verteilte Künstliche Intelligenz und kooperatives Arbeiten, W. Brauer and D. Hernandez, eds., Berlin, Germany: Springer-Verlag, 1991, pp.508-518.
    PUB | Download (ext.)
     
  • [27]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285398
    K. Goser, U. Hilleringmann, and U. Rückert, “Applications and Implementations of Neural Networks in Microelectronics – Overview and Status”, Advanced Computer Technology, Reliable Sytems and Applications, V.A. Monaco and R. Negrini, eds., Bologna: IEEE Computer Society Press, 1991, pp.531-536.
    PUB | DOI
     
  • [26]
    1991 | Konferenzband | Veröffentlicht | PUB-ID: 2285456
    U. Ramacher, U. Rückert, and J.A. Nossek, eds., Proceedings 2nd International Conference on Microelectronics for Neural Networks, München, Germany: Kyrill & Method Verlag, 1991.
    PUB
     
  • [25]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285299
    U. Rückert, C. Kleerbaum, and K. Goser, “Digital VLSI Implementation of an Associative Memory Based on Neural Networks”, VLSI for Artificial Intelligence and Neural Networks, J.G. Delgado-Frias and W.R. Moore, eds., New York, USA: Plenum Press, 1991, pp.785-794.
    PUB
     
  • [24]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285390
    U. Rückert and H. Surmann, “Tolerance of a Binary Associative Memory Towards STUCK-AT-FAULTS”, Artificial Neural Networks, T. Kohonen, ed., vol. 2, Amsterdam, North-Holland: 1991, pp.1195-1198.
    PUB
     
  • [23]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285408
    A. Soennecken, et al., “Analogwertspeicher mit EAROM-Zellen für Neuronale Netze”, Tagungsband des 5. E.I.S.-Workshops, A. Kaesser, ed., Dresden, Germany: 1991, pp.371-373.
    PUB
     
  • [22]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285327 OA
    H. Surmann, et al., “Neural Networks for Defect Recognition on Masks and Integrated Circuits: First Result”, Proceedings of Neuro-Nimes: Neural Networks and their Applications, 1991, pp.581-591.
    PUB | PDF | Download (ext.)
     
  • [21]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285192
    U. Rückert, “VLSI Design of an Associative Memory based on Distributed Storage of Information”, VLSI Design of Neural Networks, U. Ramacher and U. Rückert, eds., Boston, USA: Kluwer Academic Publishers, 1991, pp.153-168.
    PUB
     
  • [20]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285370
    K. Goser, et al., “Hardware für Fuzzy-Controller”, Tagungsband des 1. Dortmunder Fuzzy Tag, Dosis GmbH Dortmund, Germany: 1991.
    PUB
     
  • [19]
    1991 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285419
    U. Rückert, “An Associative Memory with Neural Architecture and its VLSI Implementation”, Proceedings of the Twenty-Fourth Annual Hawaii International Conference on System Sciences, V. Milutinovic and B.D. Shriver, eds., vol. 1, Los Alamitos: IEEE Computer Society Press, 1991, pp.212-218.
    PUB | DOI
     
  • [18]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285171
    U. Rückert, K. Goser, and U. Ramacher, “Hybrid VLSI Implementation of an Associative Memory Based on Distributed Storage of Information”, Proceedings of the 1st International Workshop on Microelectronics for Nerual Networks, K. Goser, U. Ramacher, and U. Rückert, eds., University Dortmund, Germany: 1990, pp.196-203.
    PUB
     
  • [17]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285188
    K. Goser and U. Rückert, “Mikroelektronik neuronaler Netze”, ITG-Fachbericht, Berlin, Germany: VDE-Verlag, 1990, pp.189-190.
    PUB
     
  • [16]
    1990 | Konferenzband | Veröffentlicht | PUB-ID: 2285450
    K. Goser, U. Ramacher, and U. Rückert, eds., Proceedings of the 1st International Workshop on Microelectronics for Neural Networks, Dortmund, Germany: 1990.
    PUB
     
  • [15]
    1990 | Monographie | Veröffentlicht | PUB-ID: 2280936
    U. Rückert, Integrationsgerechte Umsetzung von assoziativen Netzwerken mit verteilter Speicherung, Fortschritt-Berichte VDI Reihe 10: Informatik/Kommunikationstechnik, vol. 130, Düsseldorf, Germany: VDI Verlag, 1990.
    PUB | Download (ext.)
     
  • [14]
    1990 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2285182
    U. Rückert, “VLSI Implementation of an Associative Memory Based on Distributed Storage of Information”, Neural Networks, L.B. Almeida and C.J. Wellekens, eds., vol. 412, Berlin, Germany: Springer-Verlag, 1990, pp.267-276.
    PUB | DOI
     
  • [13]
    1990 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280954
    K. Goser, et al., “Chip-Architecturen für künstliche neuronale Netze”, Mikroelektronik, vol. 5, 1990, pp. 208-211.
    PUB
     
  • [12]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281030
    U. Rückert and K. Goser, “Ein digital/analoges Assoziativspeicherkonzept basierend auf neuronalen Strukturen”, GMD-Studie zum 4. E.I.S.-Workshops, 1989, pp.201-210.
    PUB
     
  • [11]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281064
    K. Goser, K.M. Marks, and U. Rückert, “Selbstorganisierende Parameterkarten zur Prozeßüberwachung und -voraussage”, 3. Internationaler GI Kongress: Wissensbasierte Systeme, Informatik-Fachberichte, München, Germany: Springer-Verlag, 1989, pp.225-237.
    PUB
     
  • [10]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281072
    U. Rückert, I. Kreuzer, and V. Tryba, “Fault-Tolerance Of Associative Memories Based On Neural Networks”, Proceedings of the International Conference on Computer Technology, Systems and Applications, Hamburg, Germany: IEEE Comput. Soc. Press, 1989, pp.1.52-1.55.
    PUB | DOI
     
  • [9]
    1989 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281084
    U. Rückert and K. Goser, “VLSI-Design of Associative Network”, VLSI for Artificial Intelligence, J.G. Delgado-Frias and W.R. Moore, eds., Boston: Kluwer Academic Publishers, 1989, pp.227-235.
    PUB
     
  • [8]
    1989 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280945
    K. Goser, et al., “VLSI Technologies for Artificial Neural Networks”, IEEE Micro, vol. 9, 1989, pp. 28-44.
    PUB | DOI | WoS
     
  • [7]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281009
    V. Tryba, et al., “Selbstorganisierende Karten als lernende klassifizierende Speicher”, ITG-Fachbericht, Berlin, Germany: VDE-Verlag, 1988, pp.407-419.
    PUB
     
  • [6]
    1988 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281022
    U. Rückert and K. Goser, “VLSI-Architectures for Associative Networks”, Proceedings of the IEEE International Symposium on Circuits and Systems, vol. 1, Helsinki, Finland: IEEE, 1988, pp.755-758.
    PUB | DOI
     
  • [5]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2281048
    U. Rückert and K. Goser, “Adaptive Associative Systems For VLSI”, WOPPLOT 86 Parallel Processing: Logic, Organization, and Technology Proceedings of a Workshop Neubiberg, Federal Republic of Germany, July 2–4, 1986, J.D. Becker and I. Eisele, eds., Lecture Notes in Computer Science, vol. 253, Berlin, Heidelberg: Springer, 1987, pp.166-184.
    PUB | DOI
     
  • [4]
    1987 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280973
    U. Rückert, I. Kreuzer, and K. Goser, “A VLSI Concept For An Asso-ciative Matrix Based On Neural Networks”, VLSI and Computer, W.E. Proebster and H. Reiner, eds., Washington, USA: Computer Society Press, 1987, pp.31-34.
    PUB
     
  • [3]
    1986 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280942
    K. Goser and U. Rückert, “Künstliche Intelligenz - eine Herausforderung an die Großintegrationstechnik”, Nachrichtentechnische Zeitschrift, vol. 11, 1986, pp. 748-752.
    PUB
     
  • [2]
    1985 | Konferenzbeitrag | Veröffentlicht | PUB-ID: 2280900
    K. Goser and U. Rückert, “Intelligent VLSI-Memories For Robotics”, COGNITIVA 85 : De l' intelligence artificielle aux biosciences; Actes du Colloque Scientifique, Paris, France: CESTA, 1985, pp.425-430.
    PUB
     
  • [1]
    1984 | Zeitschriftenaufsatz | Veröffentlicht | PUB-ID: 2280870
    K. Goser, C. Fölster, and U. Rückert, “Intelligent Memories in VLSI”, Information Sciences, vol. 34, 1984, pp. 61-82.
    PUB | DOI | WoS
     

Suche

Publikationen filtern

Darstellung / Sortierung

Export / Einbettung